Analísis de los avances científicos que aportará el gran colisonador de hadrones para la física moderna

Páginas: 4 (788 palabras) Publicado: 14 de febrero de 2011
INTRODUCCIÓN
La conciencia humana en su fan de saber, con relación, se han realizado una gran cantidad de experimentos todos con el único fin de descubrir el origen del universo o mejor dicho de lamateria (en términos científicos).
En la Física de Partículas también llamada Física de Altas Energías debido a que muchas de las partículas se las puede ver solo en grandes colisiones provocadas enlos aceleradores de partículas como a el Gran Colisionador de Hadrones (GCH), lo que quizás sea el experimento más importante de la física actual y el aparato más grande y complejo que los sereshumanos hayan construido. Mejor conocido por las siglas inglesas LHC (Large Hadron Colloider), es el más nuevo y más poderoso colisionador de partículas, un tipo de herramienta que crea choques entrepartículas subatómicas como protones. Construido por el CERN (sigla provisional utilizada en 1952, que respondía al nombre en francés Conseil Européen pour la Recherche Nucléaire, es decir, Consejo Europeopara la Investigación Nuclear).
CAPÍTULO I
EL PROBLEMA

Planteamiento del Problema

Con el Gran Colisionador de Hadrones sus objetivos propios principales es dar respuestas a las siguientesmisterios o testimonios que se basan en los principios y concepto de la Teoría del Big-Bang, en primer lugar se tiene la Masa, todos sabemos cómo medirla pero no se sabe que es realmente. El Bosón deHiggs, es la única partícula del modelo estándar que no ha sido observada hasta el momento pero representa un papel fundamental en cuanto el origen de la masa.
Según Á, Posada-Swafford (2008) enrelación al objetivo del Gran Colisionador de Hadrones o LHC es:
El objetivo del LHC es revelar las partículas infinitesimalmente pequeñas –y aún desconocidas– que escribieron las reglas de todo lo que hoyconstituye el cosmos. Cualesquiera que fueran las formas de la materia y las leyes y fuerzas que regían el universo hace 14 mil millones de años, cobrarán vida brevemente una vez tras otra y, si...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Analísis De Los Avances Científicos Que Aportará El Gran Colisonador De Hadrones Para La Física Moderna
  • Aportes De Los Cientificos Mas Importantes Para La Fisica
  • Avances Tecnologicos y Aportaciones de la Física
  • cientificos que aportaron conicimientos en la fisica
  • Aportes Del Gran Colisionador De Hadrones A La Fisica Actual
  • Científicos que han aportado a la física
  • CIENTIFICOS QUE APORTARON A LA FISICA
  • Algunos Científicos Y Sus Aportes A La Física

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS