Introducción a vhdl

Páginas: 16 (3929 palabras) Publicado: 10 de junio de 2011
Introducción a VHDL

Esteban Rivas
Universidad Tecnológica Nacional. Regional Mendoza

Resumen

Este informe tratará de que el lector pueda introducirse al lenguaje de descripción de hardware VHDL y al entorno de desarrollo Xilinx ISE versión 9.2i mediante el ejemplo de diseño de un decodificador de tres a ocho.

Abstract

This report shall address the reader to enter thedevelopment environment Xilinx ISE version 9.2i using the example of designing a decoder from three to eight. The same will explain step by step from how to create a project until its simulation in the simulation tool provided by the software.

1. Introducción a HDL

Los circuitos de escala de integración media y alta (MSI y LSI) se diseñaron mediante la realización de un prototipo formado pormódulos más sencillos y la comprobación de su funcionamiento antes de proceder a la integración. Ésta forma de diseño recibe el nombre de “abajo hacia arriba” (bottom-up) porque se enlazan diversos módulos para construir un bloque funcional más complejo. Pero en el caso de circuitos integrados de complejidad VLSI y superiores (ULSI y GSI) no resulta práctica la realización física de un prototipo y porello es necesario recurrir a la simulación y así verificar su correcto comportamiento antes de integrarlos. Ello trajo la necesidad del desarrollo de métodos de diseño asistido por computador divididos en varias fases que, a partir de la especificación del funcionamiento, llevan hasta la descripción física del circuito, por lo que reciben el nombre de “arriba hacia abajo” (top-down). Así, mediantesimulación es posible una rápida detección de errores en fases tempranas del diseño, resulta factible la reutilización del mismo para diferentes tecnologías y se pueden utilizar las herramientas de síntesis actuales para obtener rápidamente un esquema lógico y en definitiva una netlist de entrada para el trazado físico (layout) del ASIC o la asignación de recursos (mapping) en el caso de lalógica programable (PLDs y FPGAs). De ello surge la necesidad de un lenguaje que establezca un conjunto de reglas que permitan especificar los sistemas digitales de diferentes formas y que sirvan para facilitar el diálogo entre los diseñadores, entre las herramientas de diseño asistido por computador y entre diseñadores y herramientas, dicho lenguaje recibe el nombre de Lenguaje de Descripción delHardware (Hardware Description Language, HDL).
Actualmente los HDL de uso más extendido son Verilog y VHDL, estandarizados por el IEEE.

2. Introducción a VHDL

En 1980 el Departamento de Defensa de Estados Unidos (DoD) inició un proyecto denominado Very High Speed Integrated Circuit (VHSIC) con el objetivo de desarrollar circuitos integrados de muy alta velocidad para integrarlos ensus sistemas militares; lo que hizo evidente que para poder organizar y coordinar el desarrollo de los mismos propuestos por diversas compañías era necesario el empleo de un lenguaje de descripción del hardware que permitiera el flujo de información entre diseñadores, fabricantes y usuarios. De ésta forma se inicia el desarrollo de un lenguaje de descripción VHD2L (Hardware Design/DescriptionLanguaje) que posteriormente se simplificaría en el acrónico VHDL y que fue fuera estandarizado por el IEEE en el año 1987 con el número 1076, actualmente se encuentra en vigor la revisión del estándar IEEE Std 1076-1993.
Características de VHDL como un HDL:
* Deriva de un lenguaje de alto nivel: ADA.
* Multinivel: permite modelar y simular un sistema desde un alto nivel de abstracción hastael nivel lógico más elemental de puertas y biestables: básicamente permite tres estilos de descripción: algorítmico o de comportamiento, RTL o de flujo de datos y lógico o estructural. No obstante pueden mezclarse en un mismo diseño los distintos niveles de abstracción.
* Permite diseño top-down, que incrementa la productividad y eficiencia de los diseños; también bottom-up o una...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Introduccion a la programacion en vhdl
  • Introduccion a vhdl
  • Introduccion VHDL 1
  • Introducción a los lenguajes VHDL
  • Introducción A Vhdl Y Lógica Combinacional
  • Introducción al lenguaje VHDL
  • Introducción A Los Lenguajes Vhdl
  • Vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS