Sumador Vhdl

Páginas: 3 (702 palabras) Publicado: 7 de diciembre de 2012
UNIVERSIDAD DISTRITAL
Laboratorio Sumador de 4 bit vhdl

Presentado a:

Ing. Ricardo Gomez

Presentado por:

MaicolDouglas Perez Llanos
Giobany vaquero

OBJETIVOS

* Estudiar elfuncionamiento del sumadorde 4 bits.
* Descripción, a nivel de VHDL de cada unode los componentes que hacen parte delcircuito.
* realizar el código VHDL correspondiente alcircuito digitaldescrito en la formaestructurada.
* Realizar la respectiva simulación delcircuito con VHDL.
* Expresar de manera personal losresultados obtenidos.

CONSULTA PREVIA

La información necesaria parael desarrollode la práctica, se encuentra disponible almenos en las siguientes referencias.
* WAKERLY Jhon F. DISEÑO DIGITALPrincipios y Practicas, tercera edición.PRENTICE HALL MEXICO 2001.
*TOCCI, Ronald. SISTEMAS DIGITALES.McGraw Hill.
* NELSON; Víctor. Análisis y Diseño deCircuitos Lógicos Digitales. Prentice Hall

MARCO TEORICO
1.Que es el lenguaje VHDL?
R
 /
El lenguajeVHDL permite la descripción decircuitos digitales desde la descripción delcircuito a nivel de concepción del circuito(comportamental) hasta la descripción delDispositivo que configura elcircuito.Entretodo el conjunto de descripcionesposibles,En medio se encuentra la descripción que,probablemente, seasemeja más a ladescripción tipo
netlist 
de la especificacióndel circuito: la descripciónestructurada.En la descripción estructurada del circuito seenumeran los componentes que define elcircuito y seespecifica el conexionado que seestablece entre ellos. Esta descripción, encircuitos no muygrandes, puedeser realizadaa partir del esquemático del circuito. Ladescripción VHDL, a diferencia de laentradaesquemática, presenta la ventaja deser independiente respecto a la plataformade trabajo.
2.Quees un sumador de 4 bits?
R/
En un sumador completo, la suma de unpar de bits genera un bit de acarreo. Unsumador de 2 números de n bits si se puedeimplementar de la forma descrita acontinuación....
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • VHDL sumador
  • Vhdl
  • Sumador
  • sumadores
  • Sumadores
  • Vhdl
  • Vhdl
  • Sumadores

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS