Vhdl-Introduccion

Páginas: 5 (1074 palabras) Publicado: 4 de agosto de 2011
INTRODUCCIÓN A LA PROGRAMACIÓN EN VHDL

Índice
I. Introducción ............................................................................................................ 3 II. Elementos Básicos de VHDL........................................................................... 4 2.1 Identificadores...............................................................................................4 2.2 Operadores........................................................................................................... 6 III. Estructura Básica de un Archivo fuente en VHDL...................... 8 3.1 Entidades (Entity) ....................................................................................... 8 3.2 Arquitectura(Architecture).................................................................. 9 3.3 Sentencias de descripción..................................................................... 10 3.4 Descripción Estructural ......................................................................... 11 3.5 Descripción por comportamiento(behavioural) ......................... 12 3.6 Ejemplos............................................................................................................. 13 IV. Descripción de Lógica Secuencial........................................................ 16 4.1 Ejemplos ............................................................................................................. 17 V. Diseño de una Máquina de Estados .......................................................... 19 VI. Funciones, Procedimientos y Paquetes.............................................. 22 6.1 Funciones ........................................................................................................... 22 6.2 Procedimiento ................................................................................................. 23 6.3Ejemplo................................................................................................................ 23 VII. Ejemplo: Diseño de una Memoria RAM................................................. 25 Apéndice ........................................................................................................................... 27

I. Introducción
VHDL es un lenguaje de descripción de circuitos electrónicos digitales que utiliza distintos niveles de abstracción. El significadde las siglasVHDL es VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Esto significa que VHDL permite acelerar el proceso de diseño. VHDL no es un lenguaje de programación, por ello conocer su sintaxis no implica necesariamente saber diseñar con él. VHDL es un lenguaje de descripción de hardware genérico, que permite describir circuitos síncronos y asíncronos. Para realizar estodebemos: - Pensar en puertas y biestables, no en variables ni funciones. - Evitar bucles combinacionales y relojes condicionados. - Saber qué parte del circuito es combinacional y cuál secuencial. Los circuitos descritos en VHDL pueden ser simulados utilizando herramientas de simulación para reproducir el funcionamiento del circuito. Además utilizando herramientas de síntesis se puede implementardicho circuito en un dispositivo lógico programable o en un circuito integrado. Webs y Noticias Relacionadas con la programación en VHDL y sus herramientas de simulación y síntesis www.edacafe.com Espacio web dedicado a difundir las noticias relacionadas con el mundo del diseño de circuitos. Tiene un foro particular de VHDL (problemas, herramientas gratuitas …) www.eda.org/vasg/ “Welcome to the VHDLAnalysis and Standardization Group (VASG). The purpose of this web site is to enhance the services and communications between members of the VASG and users of VHDL. We've provided a number of resources here to help you research the current and past activities of the VASG and report language bugs, LRM ambiguities, and suggest improvements to VHDL …” www.cadence.com “Cadence Design Systems is the...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Introduccion a la programacion en vhdl
  • Introduccion a vhdl
  • Introducción a vhdl
  • Introduccion VHDL 1
  • Introducción a los lenguajes VHDL
  • Introducción A Vhdl Y Lógica Combinacional
  • Introducción al lenguaje VHDL
  • Introducción A Los Lenguajes Vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS