vhdl

Páginas: 3 (682 palabras) Publicado: 23 de octubre de 2013
02/08/2013

Sistemas de
lazo abierto y
lazo cerrado
Mayo-Agosto 2012

¿Qué se necesita para diseñar
un sistema de control?


Requisito primordial:
Conocer la planta


?

Saber quées, qué hace,
cuáles son sus variables
principales, sus
especificaciones,
limitaciones, su
capacidad y las
condiciones para un
manejo seguro y
confiable.

1

02/08/2013




SistemaEs todo proceso, dispositivo o situación cuyo
comportamiento es susceptible de cambio ante
determinadas variables.

Variable de
Entrada



Sistema

Variable de
Salida

Modelo


Esla representación matemática del comportamiento del
sistema. Se basa en la relación de las señales de entradasalida

Abrir válvula

Aumentar temperatura

2

02/08/2013

Definir elobjetivo de control
De manera general existen dos:


Regulación
 El objetivo principal
es hacer que la(s)
variable(s) a ser
controlada(s)
llegue(n) hasta un
valor deseado y
permanezcan en esevalor sin importar
algún tipo de
perturbación



Seguimiento de
Trayectoria
 La(s) variable(s)
controlada(s) deben
de seguir un
determinado
comportamiento
previamente
establecidotambién
sin importar
perturbaciones.

 Se

debe considerar que La(s) señal(es) o
variable(s) a controlar pasan por dos momentos en
la búsqueda del objetivo final:

 Etapa


transitoriaCuando un sistema
es
obligado
a
cambiar desde una
condición
inicial
hasta otra, sufre una
etapa transitoria que
se ve reflejada en sus
variables.

 Etapa

en estado
estable


Tiempodespués si las
variables del sistema
logran estabilizarse en
otra condición, se
dice que están en
una etapa de estado
estable.

3

02/08/2013

Etapa transitoria y etapa en
estado establetrayectoria
a seguir

Etapa
transitoria (et)

Etapa en estado
estable (ee)

et

ee

t

t

Regulación

Seguimiento de trayectoria

Si la variable a controlar se encuentra en...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS