ALU en VHDL

Páginas: 4 (845 palabras) Publicado: 20 de noviembre de 2014
Antecedentes
¿Qué es el ALU?
la unidad aritmético lógica, también conocida como ALU (siglas en inglés de arithmetic logic unit), es un circuito digital que calcula operaciones aritméticas (comosuma, resta, multiplicación, etc.) y operaciones lógicas (si, y, o, no), entre dos números.
Muchos tipos de circuitos electrónicos necesitan realizar algún tipo de operación aritmética, así que inclusoel circuito dentro de un reloj digital tendrá una ALU minúscula que se mantiene sumando 1 al tiempo actual, y se mantiene comprobando si debe activar el sonido de la alarma, etc.
Por mucho, los máscomplejos circuitos electrónicos son los que están construidos dentro de los chips de microprocesadores modernos. Por lo tanto, estos procesadores tienen dentro de ellos un ALU muy complejo y potente.De hecho, un microprocesador moderno (y los mainframes) puede tener múltiples núcleos, cada núcleo con múltiples unidades de ejecución, cada una de ellas con múltiples ALU.
Muchos otros circuitospueden contener en el interior una unidad aritmético- lógica: unidades de procesamiento gráfico como las que están en las GPU modernas y procesadores digitales de señales como los que se encuentran entarjetas de sonido, lectoras de CD y los televisores de alta definición. Todos éstos tienen en su interior varias ALU potentes y complejas.


Una ALU debe procesar números usando el mismo formato queel resto del circuito digital. Para los procesadores modernos, este formato casi siempre es la representación del número binario de complemento a dos. Las primeras computadoras usaron una ampliavariedad de sistemas de numeración, incluyendo complemento a uno, formato signo-magnitud, e incluso verdaderos sistemas decimales, con diez tubos por dígito.
Las ALU para cada uno de estos sistemasnuméricos mostraban diferentes diseños, y esto influenció la preferencia actual por el complemento a dos, debido a que ésta es la representación más simple, para el circuito electrónico de la ALU, para...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Paper de una alu en vhdl
  • ALU en VHDL
  • Alu De 8 Bits Vhdl
  • La alu
  • Aluar
  • Esto Es La Alu
  • Vhdl
  • Vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS