Clase de VHDL

Páginas: 2 (289 palabras) Publicado: 13 de marzo de 2014
ELECTRONICA
DIGITAL II

VHDL
M.Sc. ING. LUISA FERNANDA GALLO SÁNCHEZ

1

VHDL
-El nombre proviene de:
“VHSIC Hardware Description Language”
Very High Speed Integrated Circuits.

M.Sc.Ing. Luisa Fernanda Gallo S.

2

VHDL
- Es un estándar a nivel mundial
-Puede describir el diseño en alto nivel.
- El funcionamiento no depende de la
-

implementación.

Permite el diseñomodular
“Dividir un sistema complicado en subsistemas más
sencillos, tantas veces como sea necesario hasta
poder resolver cada módulo (subsistema) por
separado”
M.Sc. Ing. Luisa Fernanda Gallo S.3

VHDL
- Top-Down

M.Sc. Ing. Luisa Fernanda Gallo S.

4

VHDL

- Bottom- Up

M.Sc. Ing. Luisa Fernanda Gallo S.

5

VHDL
-

Tiempo al mercado rápido y a
bajo costo.

-Migración a ASIC

M.Sc. Ing. Luisa Fernanda Gallo S.

6

Portabilidad

M.Sc. Ing. Luisa Fernanda Gallo S.

7

Proceso de Diseño en VHDL
1. Definir los requerimientos del diseño.
2.Describir el diseño en VHDL
3. Compilar el código fuente.
4. Simular el código fuente (funcional)
5. Sintetizar, optimizar, y generar el “place and
route or fit” del diseño.
6. Programar eldispositivo.

M.Sc. Ing. Luisa Fernanda Gallo S.

8

Generalidades del lenguaje
VHDL no distingue las letras mayúsculas
de las minúsculas.
Los

comentarios

se

inician

con

--.

Lasvariables deben empezar por una
letra, no deben contener espacios ni
símbolos como &, %, $, #, !, etc.
M.Sc. Ing. Luisa Fernanda Gallo S.

9

Generalidades del lenguaje
Su longitud no estálimitada, no pueden
acabar con un subrayado o tener dos
subrayados seguidos.
Para representar un número de una sola cifra,
debe situarse entre apóstrofes: '1'
Para representar un número de más de unacifra:
"10011"
M.Sc. Ing. Luisa Fernanda Gallo S.

10

Generalidades del lenguaje
Las operaciones básicas entre señales
son:
asignación:
operaciones booleanas
comparaciones
aritméticas...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS