contador de 4 bits en VHDL

Páginas: 5 (1078 palabras) Publicado: 26 de septiembre de 2014
Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática

1

Práctica I
Contador de cinco bits
A modo de ejemplo, vamos a mostrar como se llevaría la práctica que tendría el siguiente
enunciado:
Llevar a cabo el diseño de un contador de cinco bits, en el que se deberán realizar las
siguientes tareas:
• Modelar en VHDL el contador de cinco bits.
• Comprobar mediantesimulación el comportamiento del contador utilizando el software de XILINX.
• Utilizar el software de XILINX para sintetizar el comportamiento modelado en el primer punto (utilizar el mapeado tecnológico).
• Simular eléctricamente los bloques obtenidos en el punto anterior utilizando una
familia lógica CMOS. Para ello, se debe realizar una revisión bibliográfica de la familia lógica encuestión. Como resultado de dicha simulación se deben obtener las
dimensiones óptimas de las puertas en términos de retraso de propagación (obtenido
como el valor medio de los retrasos correspondientes a todas las combinaciones de
entrada). Dichas dimensiones deben estar de acuerdo con las reglas de diseño de la
tecnología CMOS de 0.6u.
• Obtener el layout de los bloques anteriores según lasdimensiones óptimas del apartado anterior.
• Simular eléctricamente los flip-flops, utilización una configuración maestro-esclavo
estándar. Para ello, se debe realizar una revisión bibliográfica de la configuración en
cuestión. Como resultado de dicha simulación se deben obtener las dimensiones óptimas de las puertas en términos de retraso de propagación (obtenido como el valor
medio de los retrasoscorrespondientes a todas las transiciones clk -> salida). Dichas
dimensiones deben estar de acuerdo con las reglas de diseño de la tecnología CMOS
de 0.6u.
• Obtener el layout de los flip-flops según las dimensiones óptimas del apartado anterior.
• Obtener una colocación óptima de los bloques obtenidos en el tercer punto, utilizando
el algoritmo de clustering uniforme. Para ello, se deberealizar una revisión bibliográfica del algoritmo en cuestión, así como una implementación software del algoritmo
en el lenguaje Tcl/Tk (basado en script, y por tanto, independiente del sistema operativo).
• Obtener un rutado óptimo utilizando el algoritmo basado en cliques. Para ello, se debe
realizar una revisión bibliográfica del algoritmo en cuestión, así como una
implementación software delalgoritmo en el lenguaje Tcl/Tk (basado en script, y por
tanto, independiente del sistema operativo).

Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática

2

1.1. Modelar en VHDL el contador de cinco bits
Como no se nos indica ninguna especificaicón sobre el contador que se desea implementar, vamos a permitir que el software de XILINX haga todo el trabajoestructural por nosotros.
Por lo tanto, el código VHDL del contador sería el siguiente:
------------------------------------------------------------------------------------------------------------------library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity contador5 is
Port ( clk : in STD_LOGIC;
cuenta : out STD_LOGIC_VECTOR (4 downto 0));end contador5;
architecture Behavioral of contador5 is
signal cuenta_int : std_logic_vector(4 downto 0) := (others => ‘0’);
begin
P1: process (clk)
begin
if (clk = '1' and clk'event) then cuenta_int '0');
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: contador5 PORT MAP(
clk => clk,
cuenta => cuenta
);
tb : PROCESS
BEGIN
clk cuenta_int(2), cuenta_int(1), cuenta_int(0);result(2)
LUT2_6
-->cuenta_int(1), cuenta_int(0); result(1)

13

Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática
LUT4_8000 -->cuenta_int(1), cuenta_int(2), cuenta_int(0), cuenta_int(3); N13
LUT4_7FFF -->cuenta_int(2), cuenta_int(1), cuenta_int(0), cuenta_int(3); N12
MUXF5
-->N13, N12; cuenta_int(4); result(4)
FD1
-->clk, result(3); cuenta_int(3)
FDR2
-->clk,...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Multiplicador 4 bits VHDL
  • Contador de 4 bits
  • Contador De 4 Bits
  • Contador binario 4 bits 74163
  • COntador 4 bits
  • Comparador 2 bits VHDL
  • Diseño fisico contador 4 bits
  • practica de un contador de 4 bits (electronica)

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS