Contador De Decadas

Páginas: 2 (300 palabras) Publicado: 22 de noviembre de 2012
TEMA
“Practica # 8
Contador de décadas “
ALUMNO

José Manuel Gordillo Reséndiz

PROFESOR
ING. Ricardo Francisco José Hernández Hernández

MATERIA
SistemasDigitales II

GRUPO:
MA03SM-11

OBJETIVO
Diseñar y realizar una descripción de un contador sin utilizar las bibliotecas “use IEEE.STD_LOGIC_arith.all;” y “useIEEE.STD_LOGIC_unsigned.all”; que solo cuente del 0 al 9 y que emita una señal de acarreo cuando reinicie la cuenta a 0.
Diagrama a bloques

DESARROLLO
Para realizar el contador de 0 a 9primero se analizo la función deseada y se desarrollo un diagrama de estados y posterior mente el diagrama de bloques. Después empezamos con la descripción cuidando de no colocar lasbibliotecas arith e unsigned, y colocando las entradas y salidas físicas de nuestra descripción.

Una vez que declaramos las entradas y salidas físicas, vamos a declarar nuestras señales queson las que utilizaremos internamente en nuestra descripción, esas señales son:

Tenemos que ingresar los siguientes datos a la descripción de HDL:

Entonces procederemos a darestimulaciones a la entrada RELOJ , a la entrada RESET y a la entrada e. Para el reloj daremos una señal que tenga una frecuencia de 50 MHz

Y para la señal de reset ingresaremos unaformula, que nos dice que cuando el tiempo sea 0ns la señal permanecerá en 1, y cuando el tiempo sea de 20ns nuestra señal de reset se ira a 0 iniciando así con todo el proceso.

En esteimagen podemos observar que después de nueve pulsos el carry se va a 1, y hasta que no llega otro pulso el carry vuelve a 0 y sigue contando.
CONCLUSION
Esta descripción nos hacepensar y saber como podemos trabajar cuando tenemos limitantes en la descripción, además de ir uniendo poco a poco descripciones hechas anteriormente y hacer una descripción mas compleja.
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Contador De Decadas 7490
  • Proyecto: Contador Ascendente Y Descendente De Una Década
  • contador decadas
  • Programa en vhdl contador década
  • deco
  • Decadas
  • decadas
  • decada

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS