CONVERTIDOR ANALÓGICO A DIGITAL (ADC) Y DE DIGITAL A ANALÓGICO (DAC)

Páginas: 3 (735 palabras) Publicado: 7 de mayo de 2015
Abstract—An analog to digital converter is an electronic device capable of converting an analog voltage signal into a digital signal with a binary value. The analog signal that varies continuouslyin time, is connected to the input device, which carries out back to the conversion and subjected to sampling at a fixed speed, thereby obtaining a digital signal to the output thereof.
I.Introducción
Un convertidor análogo a digital (ADC Analog-to-Digital Converter), es un dispositivo electrónico capaz de convertir una señal analógica de voltaje en una señal digital con un valor binario. La señalanalógica que varía de forma continua en el tiempo, se conecta a la entrada del dispositivo encargado de efectuar la conversión y se somete a un muestreo a una velocidad fija, obteniendo así unaseñal digital a la salida del mismo.
Del mismo modo un conversor de digital a análogo (DAC) convierte las señales digitales con datos binarios en señales de corriente o tensión analógica. Entre mayor seael valor binario mejor se verá representada la señal análoga.
II. procedimiento
A. Convertidor Análogo a Digital.
Un convertidor (ADC) genera una salida digital a partir de una señal de entradaanalógica. Entre mayor sea el número de bits, mejor será la resolución de la señal, para este caso se generó 8 bits a partir de una señal seno, aplicada a un convertidor de 8 bits a 3 bits, el integradoutilizado para esto fue el 74LS148N.
Esta es la representación gráfica de un convertidor de análogo a digital.

Imagen 1. Diagrama de bloques convertidor análoga a digital.

Imagen 2. Integrado74ls148n.

También se utilizaron amplificadores comparadores LM339N para el muestreo de la señal seno de entrada cuyas entradas inversoras están conectadas a un divisor de tensión y su función es evaluar laamplitud de la señal análoga y permitir el paso de la corriente, a su vez generando el valor de la señal en bits y replicando la señal de entrada.


Imagen 3. Simulación proteus 8.1.








B....
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • ADC CONVERTIDOR ANALOGICO DIGITAL
  • Convertidor analogico digital
  • ADC convertidor analogo digital
  • convertidor análogo a digital en pic
  • Convertidor Analógico
  • Convertidor Analógico Digital
  • Convertidor analogico digital
  • Concepto Del Convertidor Analógico Digital

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS