decodificador binario a bcd

Páginas: 2 (272 palabras) Publicado: 13 de noviembre de 2014
PROYECTO VHDL
1. Decodificador Binario a BCD: Diseñe un circuito lógico combinacional que convierta un número binario en el rango 0 a 99 a su equivalente en formato BDC.

A.
B.Describa con sus palabras y un diagrama de bloques o esquemático de la solución propuesta al problema.

Este es un decodificador binario a BCD al que le entramos un puerto de entrada en binario y2 de salida en BCD (decenas y unidades), luego en la arquitectura le asignamos 2 señales a la salida (decenas y unidades) una de estas señales convierte un numero binario a sucorrespondiente en BCD y la otra encuentra las unidades de cada uno de estos números.











C. Escriba la solución planteada utilizando lenguaje VHDL.

library IEEE;
useIEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.--library UNISIM;
--use UNISIM.VComponents.all;

entity bintoBCD is --se declara la entidad

--puertos de entrada y de salida (entrada: binario y salida: BCD)

Port ( bin : inSTD_LOGIC_VECTOR (6 downto 0);
BCD_dec : out STD_LOGIC_VECTOR (3 downto 0);
BCD_uni : out STD_LOGIC_VECTOR (3 downto 0));
end bintoBCD;

architecture Behavioral of bintoBCD issignal dec : STD_LOGIC_VECTOR (3 downto 0); --decenas
signal unid : STD_LOGIC_VECTOR (7 downto 0); --unidades
begin
--se convierte las decenas a su numero binario correspondientedec =90 else
"1000" when bin>=80 else
"0111" when bin>=70 else
"0110" when bin>=60 else
"0101" when bin>=50 else
"0100" when bin>=40 else
"0011" when bin>=30else
"0010" when bin>=20 else
"0001" when bin>=10 else
"0000";

unid BCD_dec,
BCD_uni => BCD_uni
);

tb : PROCESS
BEGIN
-- nùmeros del 0 al 99

bin
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Decodificador bcd
  • Decodificador Binario
  • Decodificador bcd a 7 segmentos
  • Decodificador Bcd A 7 Segmentos
  • Decodificador BCD a 7SEG cluster
  • Decodificador 8 Bits A Bcd
  • Decodificador De Bcd a 7 Segmentos
  • Decodificador Bcd Pic16F84 En Basicpic

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS