Diseño en VHDL para FPGA's

Páginas: 17 (4139 palabras) Publicado: 5 de marzo de 2014
DISEÑO EN VHDL PARA
FPGAs

Raúl Mateos Gil.
Ignacio Fernández Lorenzo.
Pedro Martín Sánchez.

Diseño en VHDL para FPGAs.

R. Mateos, I. Fernández. P. Martín

ÍNDICE
1. FLUJO DE DISEÑO VHDL SOBRE FPGAs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1.1. INTRODUCCIÓN. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . .
1.2. STANDARD VITAL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1.3. CREACIÓN DEL MODELO. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1.4. SÍNTESIS DEL MODELO. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1.5. IMPLEMENTACIÓN DEL MODELO. . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1
1
1
2
3
4

2. DESCRIPCIÓN DEL DISEÑO A REALIZAR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
2.1. CREACIÓN DEL MÓDULO LOGIBLOX. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
2.2. MÓDULO BCD2SEG. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . 10
2.3. MÓDULO CRONO.VHD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
2.3.1. Declaración de librerías. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
2.3.2. Definición de la entidad. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
2.3.3. Parte declarativa de la arquitectura. . . . . . . .. . . . . . . . . . . . . . . . . . . 14
2.3.4. Instantación de componentes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
2.3.5. Contador de décadas. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
2.3.6. Registros de lapso. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
2.3.7. Máquina de estados. . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
2.4. BANCO DE PRUEBAS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
2.5. FICHERO CONFBEHAVIORAL.VHD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19
3. SIMULACIÓN FUNCIONAL DEL DISEÑO. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . .
3.1. INTRODUCCIÓN. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.1.1. Librerías. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.2. MANEJO DEL SIMULADOR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.2.1. Creación del proyecto. . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . .
3.2.2. Creación de nuevas librerías y mapeado de las librerías a reutilizar .
3.2.3. Compilación del código fuente. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.2.4. Carga de la unidad de diseño a simular . . . . . . . . . . . . . . . . . . . . . . .
3.2.5. Selección de puntos de prueba. . . . . . . . . . . . . . . . . . . . .. . . . . . . . . .
3.2.6. Avance y detención de la simulación. . . . . . . . . . . . . . . . . . . . . . . . . .
3.2.7. Introducción de estímulos. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.2.8. Análisis de resultados. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.2.9. Finalizar una sesión de simulación. . . . . . . . . . . . . . . . . .. . . . . . . . . .
3.3. PUNTOS DE RUPTURA. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.4. METODOLOGÍA DE TRABAJO. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

20
20
21
23
24
24
25
26
27
31
33
35
36
36
38

4. SÍNTESIS DEL DISEÑO. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ....
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Diseño Con Vhdl
  • Diseño de dispensador en vhdl
  • Diseño semaforo vhdl
  • Diseño Estructural Vhdl
  • Diseño e Implementacion En Vhdl
  • Diseño Jerarquico vhdl
  • Reloj de ajedrez disenado en vhdl
  • Diseño Vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS