ensayo

Páginas: 6 (1406 palabras) Publicado: 29 de enero de 2015
Registros de
Desplazamiento
Introducción a los Sistemas
Lógicos y Digitales
2009

Sergio Noriega – Introducción a los Sistemas Lógicos y Digitales - 2009

Registros de Desplazamiento
Registro de desplazamiento:
Es todo circuito que transforma un dato en formato serie a
formato paralelo ó viceversa donde todas las operaciones son
sincronizadas por una señal de reloj externa.Clasificaciones:
Según formato de entradas-salidas:
Entrada Serie-Salida Paralelo (Serial In – Parallel Out).
Entrada Paralelo-Salida Serie (Parallel In – Serial Out).
Universal (Composición de los dos anteriores).
Entrada Serie-Salida Serie (usado como línea de retardo)
Existen dentro de lo expuesto diferentes tipos de entradas auxiliares
tales como carga (asincrónica, sincrónica ó ambas), resetasincrónico
ó sincrónico, habilitación de reloj, etc.
Lo mismo con las salidas: hay registros de desplazamiento (RD)

Sergio Noriega – Introducción a los Sistemas Lógicos y Digitales - 2008

Registros de Desplazamiento
REGISTRO SERIE-PARALELO
Es aquél que convierte un string (cadena) de datos binarios en
formato serie a un formato paralelo donde dichos datos se
encuentran sincronizadoscon una señal de reloj externa.
Un uso popular es dentro de la parte de recepción de un modem
de comunicaciones donde la señal recibida es un tren de bits los
cuales deben ser pasados a un formato paralelo a fin de poder ser
procesados convenientemente por un microprocesador, el cual
sólo trabaja con señales binarias en dicho formato paralelo.
Está basado en una cadena de Flip-Flops tipo “D”.Si el RD es de
“N” bits, el string de bits se hace entrar por el primero FF y luego
de “N” ciclos de reloj se tiene en las “N” salidas de los FFs el dato
ya convertido a paralelo.

Sergio Noriega – Introducción a los Sistemas Lógicos y Digitales - 2008

Registros de Desplazamiento
REGISTRO SERIE-PARALELO de 4 bits
“1”
/Reset

/SD

D

CLK

FF
“D“

D

Q
/Q

/CD

CLKDato In

“1”

Q0

CLK

/SD

FF
“D“

“1”

Q1

/SD

D

Q
/Q CLK

Q2

FF
“D“

/CD

D

Q
/Q

CLK

/CD

Din
CLK

“1” Q3
/SD

FF
“D“

Q
/Q

/CD

RD
Q[3..0] Dout

Sergio Noriega – Introducción a los Sistemas Lógicos y Digitales - 2008

Registros de Desplazamiento
REGISTRO SERIE-PARALELO

RD SERIE-PARALELO DE 8 BITS
74HC164 TECNOLOGÍA CMOSEste registro de desplazamiento tiene dos entradas, una de las cuales se
puede usar como habilitación.
El reloj es activo por flanco ascendente.
El rest es activo bajo y asincrónico.

Sergio Noriega – Introducción a los Sistemas Lógicos y Digitales - 2008

Registros de Desplazamiento
REGISTRO SERIE-PARALELO

RD SERIE-PARALELO DE 8 BITS
74HC164 TECNOLOGÍA CMOS

Sergio Noriega –Introducción a los Sistemas Lógicos y Digitales - 2008

Registros de Desplazamiento
REGISTRO SERIE-PARALELO

RD SERIE-PARALELO DE 8 BITS
74HC164 TECNOLOGÍA CMOS

Sergio Noriega – Introducción a los Sistemas Lógicos y Digitales - 2008

Registros de Desplazamiento
REGISTRO SERIE-PARALELO

RD SERIE-PARALELO DE 8 BITS
74HC164 TECNOLOGÍA CMOS

Sergio Noriega – Introducción a los SistemasLógicos y Digitales - 2008

Registros de Desplazamiento
REGISTRO SERIE-PARALELO

RD SERIE-PARALELO DE 8 BITS
74HC164 TECNOLOGÍA CMOS
Esquemático

Simulación con el MAX-PLUS II

Sergio Noriega – Introducción a los Sistemas Lógicos y Digitales - 2008

Registros de Desplazamiento
REGISTRO PARALELO - SERIE
Es aquél que convierte un dato en formato paralelo en un string
(cadena) de datosbinarios en formato serie, donde dichos datos
se encuentran sincronizados con una señal de reloj externa.
Un uso popular es dentro de la parte de transmisión de un
modem de comunicaciones donde la señal recibida proviene de un
microprocesador, el cual sólo trabaja con señales binarias en
formato paralelo. Dicha información es convertida por el RD en un
tren de bits.
Un RD de “N” bits está...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Ensayo de el Ensayo
  • ensayo de ensayo
  • Ensayo Del Ensayo
  • Ensayo de un ensayo
  • Ensayemos un ensayo
  • ensayo del ensayo
  • Ensayo del ensayo
  • Ensayo del ensayo

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS