Fpga

Páginas: 2 (462 palabras) Publicado: 6 de febrero de 2012
Durante el estudio de la ingeniería electrónica es necesario el diseño y montaje
de circuitos digitales para entender su funcionamiento, para lo cual en la
universidad se cuenta con un laboratorioque realiza préstamo de elementos
electrónicos según los requerimientos del circuito a montar y probar,
presentando dificultad en el proceso de montaje por que no hay los suficientes
elementos o sise requiere modificar algo en el circuito inicial, demanda mas
tiempo y realizar modificaciones en el circuito ubicado sobre el protoboard es
difícil , luego surge la necesidad de utilizar unsistema que permita realizar
diseño de circuitos digitales y simulación para facilitar el proceso de
aprendizaje, esta característica la presenta los FPGA que es un dispositivo
lógico programable.
Eneste trabajo se propone la utilización de una plataforma de hardware
reconfigurable a través de un Kit de desarrollo de FIELD PROGRAMMABLE
GATE ARRAY (FPGAs) para realizar diseños digitales en lógicasecuencial y
lógica combinacional.
Como introducción al uso del lenguaje VHDL y el Kit de desarrollo se tiene un
curso básico, el cual a través de cuatro proyectos, explica los diferentes pasospara utilizar el software integrado ISE de Xilinx y programar un FPGA incluido
en el Kit de desarrollo.
Los diseños digitales con lógica secuencial y combinacional se ve integrada en
el desarrollode un dispensador de productos y en la implementación de una
ALU sencilla, igualmente la posibilidad que tiene el FPGA integrado en el kit de
desarrollo de usar componentes periféricos se muestran endos aplicaciones
que permiten interactuar con un monitor vga o lcd y un teclado.
Este curso básico de aprendizaje es una guia de aprendizaje para nuevos usuarios del
software de programaciónIntegrated Software Enviroment (ISE) 8.2i.de XILINX, aquí
se demuestran los pasos basicos para el diseño e implementación de circuitos
digitales FPGA en el software ISE. 8.2i.y su implementacion en...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Fpga
  • FPGA
  • FPGA
  • Fpga
  • FPGA
  • Fpga
  • FPGA
  • fpga

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS