FPGA

Páginas: 3 (717 palabras) Publicado: 21 de octubre de 2013
1.- FPGA “BASYS 2”
La tarjeta BASYS 2 (figura 1.0) es una tarjeta de desarrollo fabricada por la compañía DIGILENT que contiene una FPGA modelo Spartan 3E de XILINX.

Además de la citada FPGA,esta tarjeta contiene una serie de recursos que pueden ser utilizados en los diseños de los circuitos. Concretamente contiene:

4 pulsadores.
8 conmutadores.
8 LEDS.
4 displays de 7 segmentos.
1conector de teclado de PC.
1 salida de vídeo VGA.

Figura 1.0.- BASYS 2
Todos estos recursos se encuentran conectados a las patillas de la FPGA de la forma que se indica en la siguiente figura:Figura 1.1- Esquema BASYS 2.
La síntesis de circuitos se realizará mediante el lenguaje de descripción hardware VHDL. Se compilará utilizando el entorno ISE de XILINX, y grabando las instruccionescon ADEPT.

2.- XILINX ISE

Figura 2.1.- ventana principal del navegador.


En el menú desplegable superior se elige la opción:
File>New Proyect
A continuación se abre un cuadro de dialogo NewProyect como muestra la Figura 2.2, en el que se asigna un nombre al proyecto, el directorio donde estarán los archivos del mismo, descripción del proyecto y el tipo de módulo para el nivel superiordel diseño (en este caso será de tipo esquemático).

Figura 2.2.- ventana de inicio de creación de proyecto.


A continuación pulsar la tecla siguiente y aparecerá el cuadro de dialogo de laFigura 2.3. En él se asigna el dispositivo y sus atributos principales para el que se realizará la síntesis del diseño. Para las demás opciones configurarlas como aparecen en la Figura 2.3.

Figura 2.3.-configuración de dispositivo.
*Nota: su configuración solo se hace una vez, mientras no se cambie el modelo de la FPGA.

Después de crear el fichero para el esquemático y configurar la FPGA sepulsa la tecla Next y debe aparecer el cuadro de la Figura 2.4, en el que hay un listado con la información del proyecto.

Figura 2.4.- Resumen del nuevo proyecto.



Figura 2.5.- Vista general...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Fpga
  • FPGA
  • FPGA
  • Fpga
  • FPGA
  • Fpga
  • fpga
  • Fpga

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS