fpga

Páginas: 4 (890 palabras) Publicado: 4 de noviembre de 2013

MATERIA: SISTEMAS DIGITALES LL
PROFESOR: ING. FERNANDO VALDEZ
CARRERA: MECATRONICA
TETRAMESTRE: 4TO
GRUPO: MSM41
ALUMNO: EDGAR SAUCEDO.
REPORTE: PRÁCTICA #1.
MUX 2-1, MUX 4-1DECODIFICADOR 3-8, SUMADOR 4 BITS


OBJETIVOS:
Los objetivos de estas practicas fue conocer el funcionamiento del los FPGA, su programación mediante el lenguaje de vhdl, las diferentes funciones que realizala tablilla Basys2, por ejemplo este reporte contiene las practicas realizadas del mux 2-1, mux 4-1, decodificador 3-8, sumador de 4 bits.

MARCO TEORICO:
Los lenguajes de descripción hardware(HDLs, Hardware Description Languages) vienen utilizándose desde los años 70 en los ciclos de diseño de sistemas digitales asistidos por herramientas de CAD electrónico. Al principio surgieron unaserie de lenguajes que no llegaron a alcanzar un éxito que permitiera su consolidación en el campo industrial o académico. En los años 80 aparecen los lenguajes Verilog y VHDL que, aprovechando ladisponibilidad de herramientas hardware y software cada vez más potentes y asequibles y los adelantos en las tecnologías de fabricación de circuitos integrados, logran imponerse como herramientasimprescindibles en el desarrollo de nuevos sistemas. En la actualidad ambos lenguajes están normalizados y comparten una posición hegemónica que está arrinconando –y terminará, probablemente, en poco tiempoeliminando del mercado– al resto de lenguajes que de un modo u otro todavía son soportados por algunas herramientas de CAD.

CARACTERISTICAS DEL LENGUAJE
El lenguaje VHDL fue creado con el propósitode especificar y documentar circuitos y sistemas digitales utilizando un lenguaje formal. En la práctica se ha convertido, en un gran número de entornos de CAD, en el HDL de referencia para realizarmodelos sintetizables automáticamente. Las principales características del lenguaje VHDL se explican en los siguientes puntos:
DESCRIPCIÓN TEXTUAL NORMALIZADA:
El lenguaje VHDL es un lenguaje de...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Fpga
  • FPGA
  • FPGA
  • Fpga
  • FPGA
  • Fpga
  • FPGA
  • fpga

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS