Lenguaje Estructural Vhdl

Páginas: 4 (996 palabras) Publicado: 29 de abril de 2012
PRACTICA No. 5
LENGUAJE ESTRUCTURAL EN VHDL

DISENO DIGITAL – 1160606-D
JOSE ROBERTO CONDE SANABRIA COD: 1090211
CARLOS ANDRES RENDON ECHEVERRI COD: 1090167


1. INTRODUCCION:

El leguajeVHDL permite la descripción de circuitos digitales desde la descripción del circuito a nivel de la concepción del circuito hasta la descripción del dispositivo que configura el circuito. Entre todo elconjunto de descripciones posibles, en medio se encuentra la descripción que, probablemente, se asemeja mas a la descripción tipo netlist de la especificación del circuito: la descripciónestructurada.

En la descripción estructurada del circuito se enumera los componentes que definen el circuito y se especifica el conexionado que se establece entre ellos. Esta descripción, en circuitos no muygrandes, puede ser realizada a partir del esquemático del circuito. La descripción VHDL, a diferencia de la entrada esquemática, presenta la ventaja de ser independiente respecto ala plataforma detrabajo.


2. OBJETIVOS:

• Conocer el funcionamiento e implementación del estilo estructural en lenguaje VHDL.

• Aplicar a un circuito lógico el estilo estructural de programación del lenguajeVHDL.






3. EQUIPO NECESARIO:

Se utilizará el siguiente equipo:

• Computador con últimas especificaciones
• Herramienta de simulación Xilinx. ISE Fundation.
• Tarjeta PEGASUS deDiligent.
• Datasheet tarjeta Pegasus XC2S50


4. MARCO CONCEPTUAL:

1. Lenguaje estructural:

Esta descripción utiliza para la creación de la arquitectura de la entidad entidades descritas ycompiladas previamente, de esta manera en VHDL podemos aprovechar diseños ya realizados, o realizar diseños sabiendo que se utilizarán en otros más complicados. Así se ahorra trabajo aldiseñador-programador. Se declaran los componentes que se van a utilizar y después, mediante los nombres de los nodos, se realizan las conexiones entre los puertos. Las descripciones estructurales son útiles...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Lenguaje Vhdl
  • lenguaje vhdl
  • Diseño Estructural Vhdl
  • Vhdl: lenguaje internacional
  • INTRODUCCION AL LENGUAJE VHDL
  • Tutorial Lenguaje Vhdl ( Doc Que Encontre )
  • Lenguaje De Programaci N Estructural
  • Lenguaje Vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS