Lenguaje Vhdl

Páginas: 4 (988 palabras) Publicado: 18 de junio de 2012
PRACTICA 12. INTRODUCCION A VHD(VHDL)
Parte de este material está basado en fuentes de Internet.
Objetivos
! Utilizar el lenguaje VHD como herramienta de programación de
circuitos lógicos.
!Aprender a ejecutar y compilar programas propios, creados con el
lenguaje VHD con la ayuda del software ISE 81i de XILINX.

Generalidades de VHDL

El VHDL:

VHDL = VHSIC + HDL.

VHSIC = VeryHigh Speed Integrated Circuit

“Circuitos Integrados de Muy Alta Velocidad”

HDL = Hardware Description Language

“Lenguaje de Descripción de Hardware”

VHDL es un lenguaje textual de alto nivelque se utiliza para la descripción del
hardware de los sistemas digitales.
VHDL es un lenguaje estándar que se emplea para la documentación,
simulación, síntesis y verificación de sistemasdigitales. Los lenguajes de
descripción de hardware, como el VHDL, facilitan la descripción de circuitos
integrados digitales complejos.
Por medio de éste, se puede describir el funcionamiento de uncircuito lógico,
(combinacional o secuencial), por medio de sus ecuaciones lógicas, o por
diagramas de estados o tablas.
¿Por qué VHDL?

• Flexibilidad de implementación en circuitos integrados:código VHDL portable
entre herramientas, aunque normalmente es necesario hacer ajustes según el
dispositivo o la tecnología.
• Es un lenguaje popular cuyo número de usuarios sigue aumentando.
Ventajas:– Proceso de desarrollo más confiable y automatizado
– Reducción de costo y tiempo de salida al mercado
VHDL: Orígenes y evolución
• Desarrollado en los comienzos de los 80’s como un método parala
descripción de sistemas electrónicos, para el Departamento de Defensa de
EE.UU. Su sintaxis es similar al lenguaje de programación Ada.
• Fue estandarizado en 1987, bajo la norma IEEE 1076. En1993 salió una
revisión con algunas nuevas capacidades, manteniendo su compatibilidad con la
norma original.
• Es utilizado ampliamente en la industria y academia, sin embargo, otros
lenguajes...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • lenguaje vhdl
  • Vhdl: lenguaje internacional
  • INTRODUCCION AL LENGUAJE VHDL
  • Lenguaje Estructural Vhdl
  • Tutorial Lenguaje Vhdl ( Doc Que Encontre )
  • Lenguaje Vhdl
  • Introducción a los lenguajes VHDL
  • Elemento de lenguaje VHDL

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS