Multiplexor y Demultiplexor VHDL

Páginas: 2 (431 palabras) Publicado: 13 de abril de 2014
Carlos Cortez Suarez

OBJETIVOS
Comprender para qué sirve el lenguaje VHDL.

Aprender sintaxis del lenguaje VHDL.

Trabajar y simular un multiplexor y un decodificador sobre el softwareQuartus II.

MATERIALES
Computadora personal con Software Quartus II Web V9.0
PROCESO DE TRABAJO
1. Primero se ingresa el código VHDL que representa la descripción del funcionamiento de los circuitos.El programa provee plantillas de códigos comunes que ayudan a reducir el número de errores de sintaxis.
2. Luego se compila la descripción para llegar a obtener un archivo de configuración oprogramación del FPLD.
3. Usualmente, se simula la lógica y la temporización del circuito, así como se realizan varios tipos de análisis incluyendo potencia eléctrica y riesgos lógicos.
4. Finalmente, seprograma y verifica eléctricamente que el FPLD funcione de acuerdo a las especificaciones.
FUNCIONAMIENTO MULTIPLEXOR
Multiplexor de 3 entradas de datos.
El funcionamiento de un multiplexor esparecido al de un conmutador, pero en vez de accionarlo manualmente, se acciona mediante un código binario. El multiplexor consta de 3 entradas y 8 combinaciones. La entradas de control son las queconmutan cada entrada con la salida. Por ej. Si en las entradas de control se introduce el número 5 en binario, el multiplexor conmutará la patilla 5 con la salida, o sea, la información que hay en lapatilla 5 aparece en la salida.
TABLA DE VERDAD


CÓDIGO VHDL


RESULTADO DE LA COMPILACIÓN
CIRCUITO RTL




SIMULACIÓN FUNCIONAL


FUNCIONAMIENTO DECODIFICADOR
Un decodificador es uncircuito combinacional que convierte la información binaria de n líneas de entradas a un máximo de dos líneas únicas de salida. Dicho de otra manera, un decodificador realiza la función opuesta a la dedecodificar, es decir, convierte un código binario de varias entradas en salidas exclusivas
TABLA DE VERDAD





CÓDIGO VHDL

RESULTADO DE LA COMPILACIÓN
SIMULACIÓN FUNCIONAL



CIRCUITO...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Multiplexores Y Demultiplexores
  • Multiplexores y Demultiplexores
  • practica05 Multiplexor y Demultiplexor
  • Expo MULTIPLEXORES Y DEMULTIPLEXORES
  • Informe Vhdl Multiplexor
  • Reporte de Multiplexor en vhdl
  • Multiplexores y demultiplexores
  • comparador y multiplexor en vhdl con una GAL

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS