Multiplicador Vhdl/Quartus

Páginas: 4 (858 palabras) Publicado: 4 de noviembre de 2012
Multiplicador Secuencial
Parte I Arquitecturas Orientadas Hacia La Integración Curso
Fecha:

I.
1. 2.

Contenido
Introducción.......................................................................................................................... 3 Modelos para el Multiplicador .............................................................................................. 32.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 Código multiplicador.vhd .............................................................................................. 3 Código control_mul.vhd................................................................................................ 3 Código data_path_mul.vhd........................................................................................... 4 Código shift_right.vhd ................................................................................................... 4 Código shift_left.vhd..................................................................................................... 4 Código sumador.vhd ..................................................................................................... 5 Códigomux_bus.vhd ..................................................................................................... 5 Código reg_pp.vhd........................................................................................................ 5

3. 4. a) b) 5. 6. a) b) 7.

Síntesis y Simulación Funcional............................................................................................. 6 Medidas del coste de la operación en ciclos ......................................................................... 7 Ciclos de reloj necesarios en el estado de multiplicación................................................. 7 Ciclos de reloj necesarios para la operación completa ..................................................... 7 Frecuencia Máxima de Funcionamiento del...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Ejemplo VHDL Quartus
  • Multiplicador 4 bits VHDL
  • Instrucciones Para Introducir C Digo VHDL En Quartus II
  • Quartus
  • quartus
  • Vhdl
  • Vhdl
  • Vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS