Programacion en vhdl

Páginas: 25 (6003 palabras) Publicado: 1 de noviembre de 2011
MANUAL DE PROGRAMACION DE LENGUAJE VHDL

AGUSTIN DE JESUS MORALES LEON

UNIVERSIDAD TECNOLOGICA DE CAMPECHE

INTRODUCCION

En esta sección se analizan algunos de los elementos fundamentales de VHDL a cabo en Warp.

Los temas incluyen:
* Identificadores.

* Datos de los objetos (constantes, variables, señales).

* Los tipos de datos, incluida la pre-definidos los tipos,los tipos definidos por el usuario, subtipos y tipos compuestos.

* Operadores, incluyendo lógica, relacional, añadiendo, multiplicando, la asignación devarios, y asociación de operadores.

* Entidades.

* Arquitecturas, para el flujo de datos sobre el comportamiento y las descripciones estructurales.

* Paquetes y bibliotecas.

Diseños en VHDL se crean en lo que se llamanpares entidad y la arquitectura. Entidades y arquitecturas se discuten en las Entidades y temas arquitecturas.
Secciones que condujeron a esta discusión cubrir lo básico del lenguaje VHDL como identificadores, los objetos de datos, tipos de datos, operadores y la sintaxis.

INDICE

Contenido
IDENTIFICADORES 4
OBJETIVO DE DATOS 6
TIPOS DE DATOS 8
SUBTIPOS 12
TIPOS COMPUESTOS 13OPERADORES VHDL 17
ARQUITECTURA VHDL 24
PAQUETES VHDL 27
LIBRERIAS VHDL 35

-------------------------------------------------

IDENTIFICADORES
Un identificador en VHDL se compone de una secuencia de uno o más caracteres alfabéticos, numéricos o caracteres de subrayado.
Los caracteres legales de los identificadores en VHDL son las letras mayúsculas (A. .. Z), letrasminúsculas (a. .. z), dígitos (0 ... 9), y el guión bajo (_).
El primer carácter de un identificador debe ser una letra.
El último carácter de un identificador no puede ser un carácter de subrayado. Además, dos guiones no pueden aparecer en forma consecutiva.
Letras mayúsculas y minúsculas se consideran idénticos cuando se utiliza en un identificador, por lo que SignalA, signala y SIGNALA se refieren al mismo identificador.Comentarios en una descripción VHDL empiezan con dos guiones consecutivos (--), y se extienden hasta el final de la línea. Los comentarios pueden aparecer en cualquier lugar dentro de una descripción VHDL.
VHDL define un conjunto de palabras reservadas, denominadas palabras clave, que no se pueden utilizar como identificadores.
ejemplos:
- Esto es un comentario.

- Esta es la primeralínea de un comentario de tres líneas. Tenga en cuenta la repetición

- De los dos guiones para cada línea.

mydesign entidad es - comentario al final de una línea

Los siguientes son identificadores válidos en VHDL:

SignalA
Hen3ry
Output_Enable
C3PO
THX_1138

Los siguientes no son identificadores válidos en VHDL:

3POC - identificador no puede comenzar con un dígito

Output_Enable- o un carácter de subrayado

My__Design - o tener dos períodos consecutivos de relieve
My_Entity_ - no puede terminar con un guión bajo, o bien
% Sig - signo de porcentaje es un carácter ilegal
Señal - palabra reservada

-------------------------------------------------

OBJETIVO DE DATOS
Un objeto de datos tiene un valor de algún tipo especificado. En VHDL, todos los objetos de datospertenecen a una de tres clases: constantes, variables o señales.
Declaración de constantes

Identificador de constante [, identificador ...]: tipo: = valor;

Declaración de variables
Identificador de la variable [, identificador ...]: tipo [: = valor];

Declaración de la señal

Señal de identificación [, identificador ...]: tipo [: = valor];

Un objeto de la constante de la clase puedecontener un valor único de un tipo determinado. Una constante se le debe asignar un valor a la declaración. Este valor no se puede cambiar dentro de la descripción del diseño.
Un objeto de la variable de clase también puede tener un valor único de un tipo determinado en cualquier punto de la descripción del diseño. Una variable, sin embargo, puede tomar muchos valores diferentes dentro...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Programacion En Vhdl
  • Programacion En Vhdl
  • Moografía sobre Programación en VHDL
  • INTRODUCION PROGRAMACION VHDL
  • Introduccion a la programacion en vhdl
  • Operaciones Basicas En Programacion Vhdl
  • Vhdl
  • Vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS