Programacion En Vhdl

Páginas: 5 (1118 palabras) Publicado: 6 de mayo de 2012
Objetivos:

Implementar el uso de herramientas informáticas para el análisis de Circuitos Digitales, además de implementar e incrementar el diseño y la destreza en la carrera de Ingeniería Eléctrica. Comprender el buen uso de los paquetes informáticos de simulación como el GTKwave; implementado en el diseño, desarrollo y análisis de Circuitos Digitales.

Introducción En el presente informese comienza a dar un breve conocimiento sobre como solocionar circuitos con compuertas utilizando un leguaje de programacion VHDL en el cual se implemetara un entorno grafico de simulacion llamado GTKWAVE, en el reporte se trabajara con UBUNTU ,para entrar un poco sobre la utilizacion de estos programas se resolveran varios circuitos en donde se mostrara como compilar ,las simulaciones tal como lainstalacion se haran atravez de la terminal de ubuntu

Marco Teórico. Un complejo diseño electrónico puede necesitar cientos de miles de componentes lógicos Para describir correctamente su funcionamiento. Estos diseños necesitan que sean organizados de una forma que sea fácil su comprensión. Una forma de organizar el diseño es la creación de un diseño modular jerárquico tal y como se ha venidoviendo cuando se explicaba el flujo de diseño top-down. Una jerarquía consiste en construir un nivel de descripción funcional de diseño debajo de otro de forma que cada nuevo nivel posee una descripción más detallada del sistema. La construcción de diseños jerárquicos es la consecuencia inmediata de aplicar el flujo de diseño top-down. Los circuitos de escala de integración media y alta (MSI y LSIrespectivamente) se diseñaron mediante la realización de un prototipo formado por módulos más sencillos y la comprobación de su funcionamiento antes de proceder a la integración. Esta forma de diseño recibe el nombre de abajo a arriba (bottom-up) porque se enlazan diversos módulos para constituir un bloque funcional más complejo. Pero en el caso de los circuitos integrados de complejidad VLSI ysuperiores no resulta práctica la realización física de un prototipo y por ello es necesario simular y verificar su correcto comportamiento antes de integrarlos. Ello trajo consigo la necesidad del desarrollo de métodos de diseño asistido por computador divididos en varias fases que, a partir de la especificación del funcionamiento, llevan hasta la descripción física del circuito, por lo quereciben el nombre de arriba a abajo (top-down). Así, mediante simulación es posible una rápida detección de errores en fases tempranas del diseño, resulta factible la reutilización del mismo para diferentes tecnologías y se pueden utilizar las herramientas de síntesis actuales para obtener rápidamente un esquema lógico o estructural y, en definitiva, una netlist de entrada para el trazado físico(layout) del ASIC, MCM, etc. o la asignación de recursos (mapping) en el caso de la lógica programable (PLDs y FPGAs).Todo ello, obviamente, incrementa la productividad y la eficacia del diseño. No existe unanimidad en lo que se refiere al establecimiento de las distintas fases del diseño de un sistema digital complejo, aunque la necesidad de sistematizar esta metodología ha llevado al establecimiento yprogresiva aceptación de algunas propuestas. En la figura 1.1 se representa una bastante aceptada que divide el diseño en siete niveles o formas de caracterización, también denominados niveles de abstracción por cuanto establecen la cantidad de información que se especifica de un circuito o el nivel de detalle en que se encuentra una descripción respecto de su implementación física. VHDL es elacrónimo que representa la combinación de VHSIC y HDL, donde VHSIC es el acrónimo de Very High Speed Integrated Circuit y HDL es a su vez el acrónimo de Hardware Description Language. Es un lenguaje definido por el IEEE (Institute of Electrical and Electronics Engineers) (ANSI/IEEE 1076-1993) usado por ingenieros para describir circuitos digitales. Otros métodos para diseñar circuitos son la...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Programacion En Vhdl
  • Programacion En Vhdl
  • Moografía sobre Programación en VHDL
  • INTRODUCION PROGRAMACION VHDL
  • Introduccion a la programacion en vhdl
  • Programacion en vhdl
  • Operaciones Basicas En Programacion Vhdl
  • Vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS