Práctica Registros Vhdl Xilinx

Páginas: 12 (2837 palabras) Publicado: 16 de mayo de 2012
INSTITUTO POLITÉCNICO NACIONAL
UNIDAD PROFESIONAL INTERDISCIPLINARIA DE INGENIERÍA CAMPUS ZACACTECAS

Diseño de Sistema Digitales

Prof. Carlos Cuevas Cortés

Ing. Sistemas computacionales
Manual de Prácticas

César Eduardo Rojas
Luis Germán Rodríguez
Víctor Alfonso Gonzales
REGISTROS DE DESPLAZAMIENTO



1. Objetivos 3
2. Marco teórico 3
3. Características 4
4.Actividades previas 6
6. Procedimiento 6
7. Conclusiones, Observaciones y/o trabajo no presencial 17
8. Bibliografía 18

Versión [ 1]
[12/03/2012]
PRACTICA Nº 3
REGISTROS DE DESPLAZAMIENTO

1. Objetivos
2.1. Objetivo general
El objetivo de esta práctica es conocer el funcionamiento de los registros de desplazamiento en electrónica digital.

2.2. Objetivosespecíficos
El objetivo específico es implementar un registro de desplazamiento con entrada de datos en paralelo y salida paralelo con desplazamiento a la izquierda. Además se modificará dicho registro para crear uno con doble desplazamiento. La práctica requerirá comprobar la parte teórica y conocer el diseño esquemático del registro, aunque se implementará de forma funcional con el lenguaje dedescripción de hardware VHDL. El código se cargará a una tarjeta BASYS la cual dispone de una matriz de compuertas programable modelo Spartan3E.

2. Marco teórico

Los registros de desplazamiento son circuitos donde la información de entrada se va desplazando en las salidas cuando se les aplica una señal de sincronismo; si se deja de enviar la señal de sincronismo, en la salida se mantiene lainformación anteriormente presente (memorizado).

La información de entrada se puede introducir en serie o en paralelo. La información de salida también se puede obtener en serie o en paralelo. Combinando estas formas de tratar la información de entrada y salida se obtienen diferentes tipos de registros:

a) Entrada serie, salida serie
b) Entrada serie, salida paralelo
c) Entradaparalelo, salida serie
d) Entrada paralelo, salida paralelo.
En la siguiente figura se observa el esquemático de un registro con entrada en serie y salida en paralelo usando flip-flops tipo D. Se observa cómo cada dato de entrada queda almacenado en una de las salidas cada que ocurre un ciclo de reloj.

Ahora este es el esquemático de un registro opuesto al anterior: con entrada en paralelo ysalida en serie. Aquí cada todos los flip flop almacenan un bit al mismo tiempo, pero los entregan uno por uno sincronizados con el reloj.

3. Características


El registro que buscamos implementar es un registro con entrada en paralelo, lo que quiere decir que se insertarán los datos a almacenar simultáneamente y de igual forma se mostrarán almacenados. Después se realizará eldesplazamiento "hacia la izquierda". El sentido de este desplazamiento sólo nos indica que los datos almacenados se moverán de los bits menos significativos (LSB's) hacia los más significativos (MSB's) uno a la vez. El registro visto como caja negra con las entradas y salidas se aprecia a continuación:

En primera instancia el registro únicamente realizará el desplazamiento hacia la izquierda. Estoocurrirá cuando exista una señal que al estar activada (BTN2) y sincronizada con una señal de reloj (CLK). La señal de reloj será un pulso externo que se asignará a un botón.

Como se dijo existirá una señal de carga (BTN0) y los bits almacenados se observarán mediante los LED's incluidos en la placa. En la siguiente imagen se observa la explicación al movimiento que ocurrirá y cómo se desplazaránlos datos.

En la segunda parte se procederá a agregar un desplazamiento hacia ambos lados modificando la estructura anterior. Además se sustituirá el pulsador que simulaba la señal de reloj por una señal generada cada segundo por la tarjeta. La generación de esta señal ya ha sido abordada en anteriores prácticas por lo que sólo se añadirá para automatizar un poco el diseño. El esquema se...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Registros En Vhdl
  • practica en vhdl
  • Registros De Corrimiento Siso En Vhdl
  • Registro en vhdl
  • Registro vhdl
  • Practica Registro Compras Registro Ventas
  • practica xilinx
  • Practica Registro De Compras

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS