quartus 2

Páginas: 3 (502 palabras) Publicado: 18 de julio de 2014
QUARTUS II

Ing. Wilmer Naranjo

1

PROYECTO NUEVO




FILE
NEW PROYECT WIZARD

Ing. Wilmer Naranjo

2

Ing. Wilmer Naranjo

3

Ing. Wilmer Naranjo

4

Ing. WilmerNaranjo

5

Ing. Wilmer Naranjo

6

Ing. Wilmer Naranjo

7

Ing. Wilmer Naranjo

8

Ing. Wilmer Naranjo

9

Ing. Wilmer Naranjo

10

USO DEL EDITOR DE BLOQUES






Ing. Wilmer Naranjo

FILE
NEW
Seleccionar:
Block Diag../.

11

Ing. Wilmer Naranjo

12







EDIT
INSERT
SYMBOL

(DOBLE CLIP EN EL ARCHIVO DBF)
Ing. Wilmer Naranjo13





EDIT | ROTATE BY DEGREES | 270 (NOT)
EDIT | INSERT SYMBOL | PRIMITIVA | PIN

Ing. Wilmer Naranjo

14





PARA REALIZAR EL CONEXIONADO SELECIONE EL ICONO
DE LAPUNTA FLECHA, EN LA BARRA VERTICAL O SE
DESPLAZA EL CURSOR DE INICIO AL FIN DEL CIRCUITO.
PARA EDITAR LOS PINES DE ENTRADA O SALIDA SE
REALIZA DOS CLIPS EN LA PARTE SUPERIOR DERECHA
Ing. WilmerNaranjo

15

SINTESIS DE UN CIRCUITO A
PARTIR DE UN ESQUEMA


TOOLS | COMPILER TOOL

Ing. Wilmer Naranjo

16











ANALYSIS & SYNTHESIS: Realiza el paso de síntesis
enQuartus. Produce un circuito de elementos lógicos,
donde cada uno de ellos puede implementarse en el
chip.
FILTRE (Instalador) determina la ubicación exacta en
el chip donde se implementará cadauno de estos
elementos producidos por la síntesis.
ASSEMBLER, convierte el archivo a código de
maquina.
TIMER ANALIZER, determina el diagrama de tiempo
del circuito digital.
EDA Netlist Writer.(EDA Automatización del Sistema
Electrónico) Herramientas desarrolladas y
comercializadas por otras empresas.
Ing. Wilmer Naranjo

17

Ing. Wilmer Naranjo

18

SIMULACION DE UN CIRCUITODISEÑADO








Ing. Wilmer Naranjo

FILE |
NEW
OTHER FILES
VECTOR
WAVEFORM FILE

19




CUARDAR COMO | EJEMPLO.VWF
EDIT | END TIME ( Introduzca 160 ns )
Ing. Wilmer...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Quartus
  • quartus
  • Uso De Quartus
  • tutorial quartus
  • Ejemplo VHDL Quartus
  • Multiplicador Vhdl/Quartus
  • reporte diagrama a bloques quartus ll
  • 2 2

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS