Teclado Y Vhdl

Páginas: 9 (2243 palabras) Publicado: 4 de junio de 2012
Instituto Tecnológico y de Estudios Superiores de Monterrey
Campus Estado de México

Proyecto Final
Arquitectura Computacional I

Implementación en PCB de un Teclado Matricial 4 x 4

Integrantes:
Iván Cabrera Altamirano

455591

Profr. Jorge Alberto Ramírez Landa

Fecha de Entrega:
Martes 12 de Noviembre de 2002

Introducción
El proyecto final de la materia de ArquitecturaComputacional I en esta ocasión consiste en la implementación
de un teclado matricial 4 x 4 en PCB, teniendo como teclas desde 0 hasta F, obteniendo una salida en 4 bits,
además es debemos programar nuestras funciones lógicas en Arreglos Genéricos Programables (GAL’s).
Un GAL es un dispositivo programable que permite la implementación de funciones lógicas sin necesidad de
alambrar nuestrafunción, consiste en una matriz de AND’s y OR’s que solo permite que cada función
dependa como máximo de la sumatoria de 8 miniterminos. Se utilizan varios lenguajes de programación como
por ejemplo: OPAL, PALASM u VHDL.
Un teclado matricial de 4 x 4 puede tener infinidad de usos, por eso es importante conocer como podemos
nosotros controlar uno. Además de conocer los diferentes procesos a seguir paraobtener los circuitos
impresos (PCB).
Una aplicación común puede ser un teléfono, horno de microondas, o un teclado de computadora como los
que comúnmente conocemos, ya que aún cuando físicamente son diferentes su funcionamiento interno es
muy similar, simplemente cuando tengamos más teclas necesitaremos más bits para representar la
información que estamos seleccionando.
En este caso,nosotros formaremos el teclado a partir de un arreglo 4 x 4 de mini-botones, lo cual es lo mismo
a utilizar un teclado comercial.

Implementación en PCB de un Teclado Matricial 4 x 4
El realizar un controlador para teclado matricial resulta interesante ya que la manera en la cual lo debemos
conectar no es la forma convencional, pensaríamos en que solamente polarizándolo nos quedaría, ya quesurge la pregunta de cómo poder saber que tecla estamos apretando, tendríamos que estar seleccionando
todas nuestras líneas a la vez, lo cual de principio se vuelve imposible.
Si necesitamos seleccionar todas nuestras líneas a la vez lo que podemos hacer es utilizar un decodificador
de 2 a 4, donde conectaremos a la entrada del decodificador un contador binario, por lo tanto al utilizar unafrecuencia alta nos encontramos seleccionado las filas de una manera tan rápida que será posible pensarlo
como si ocurriera a la vez.
Con respecto a las columnas estas las conectaremos a voltaje a través de unas resistencias, y a su vez estas
se conectaran a compuertas NAND junto con las salidas de otro decodificador de 2 a 4, esta parte del circuito
servirá para activar una señal que a partir deeste momento la denominaremos FREEZE (FR) que servirá para
detener el contador, y a su vez activar la parte del decodificación y visualización del display de 7 segmentos
cuando la tecla presionada sea igual a la combinación de los 4 bits donde obtenemos nuestra salida.
La manera en que activaremos el contador mediante la señal FR será utilizando un Buffer de 3 Estados, en
este lo utilizaremoscon su ENABLE (EN’) de manera negada.

A
A

B

EN

EN

B

0
0
1
1

0
1
0
1

0
1
-

Por lo tanto cuando EN’ = 0 dejaremos pasar la señal de A hasta B. Y cuando sea EN’ = 1, no tendremos
señal en B. Por lo tanto un buffer de 3 estados se comporta como un switch que deja pasar la señal que
tengamos a la entrada dependiendo de la señal de activación (EN). Un buffer de 3estados comúnmente lo
encontraremos en los integrados 74LS244 y 74LS125, teniendo como única diferencia que el 74LS125 tiene
su señal de activación negada (EN’). Es nuestro caso utilizaremos el Buffer 3s para permitir o no el paso de la
señal de reloj que activa a su vez al contador (74LS93).
En el caso de la simulación utilizamos CircuitMaker, donde utilizamos un pulser para generar nuestra...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS