todo por el todo

Páginas: 2 (265 palabras) Publicado: 28 de noviembre de 2014
SEGUNDO PARCIAL ARQUITECTURA DE COMPUTADORES



El propósito de este programa es el uso de los relojes en los circuitos temporizados. En el programa VHDL se describe uncontador de tamaño variable utilizando una declaración generica. El parámetro "N" especifica el numero de bits en el contador, un valor particular de este parámetro se defineutilizando un valor genérico comunicado.















En el código podemos observar que es un contador de 8 bits.

Para poder realizar la simulación del sistema,es necesario crear un testbench, con el que
llevar a cabo dicha simulación. En este testbench, sólo se debe crear la señal de reloj, pues es la
única señal de entrada con laque contamos.

TESTBENCH: Un banco de pruebas que le permite proporcionar un documentado, repetible conjunto de estímulos que es portable a través de diferentes simuladores.LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;



El objetivo es crear un contador con reset asíncrono, señal de habilitación y salida con unnúmero de bits configurable, con la siguiente definición de interfaz:
Entradas:
clr: Reset del sistema.
clik: Reloj del sistema.
Salidas:
count: Salida del contador.2. Realizar un programa para un montacargas que funcione de la siguiente forma: Con cargas
Inferiores a 10Kg el montacargas estará funcionando (carga mínima), con cargas entre10Kg y 100Kg el montacargas estará parado esperando que lo carguen por completo
(100Kg), y cuando esté cargado con 100Kg el montacargas subirá la carga.



entity cargais
port
c in std_logic_vector(3 downto 0);
m out std_logic;
e out std_logic;
g out std_logic;
endcarga;
architecture behavioral of carga is
with c selected
begin
m
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Todo de todo
  • Todo es uno uno es todo
  • Todo A Todo
  • todos y todas
  • de todo todo
  • Todo Todo
  • Todo Todo.
  • todos y todos

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS