Tutorial ISE VHDL

Páginas: 23 (5695 palabras) Publicado: 1 de junio de 2015
Lab. Estructura y Tecnología de Computadores I (ETC1)

Curso 2005/2006

Práctica 0

Manual de VHDL utilizando Xilinx-ISE
Autores:
Pablo Haya, Gustavo Sutter, Ángel de Castro.
Escuela Politécnica Superior - UAM

OBJETIVO
El objetivo de este manual es presentar los fundamentos básicos del lenguaje de
especificación hardware VHDL. El manual consta de tres partes: en la primera se
mostrará paso apaso cómo especificar y simular un diseño lógico con VHDL utilizando
la herramientas comerciales ISE, perteneciente a la empresa Xilinx, y ModelSim,
comercializada por la empresa Mentor Graphics. La segunda parte del manual presenta
una breve introducción a VHDL. En la última se planteará un ejercicio a resolver por el
alumno.

Flujo de diseño
FALLO

OK

SI
Problema

Diseño

Síntesis

VerificaciónFIN

NO
Figura 1. Flujo de diseño que se va a seguir en la asignatura

El flujo de diseño se divide en tres etapas claramente diferenciadas:
1. Diseño: en esta fase el diseñador plasma los requisitos del sistema utilizando un
lenguaje formal de representación.
Este puede ser gráfico o textual.
Actualmente en el diseño de circuitos digitales se utilizan:
• Herramientas gráficas de captura deesquemáticos.
• Lenguajes de diseño de hardware (hdl): describen los
componentes del sistema utilizando una descripción textual.
Los dos lenguajes más extendidos en la industria son VHDL y
Verilog.
2. Síntesis: esta fase sólo es necesaria en el caso de utilizar un lenguaje hdl. Estos
lenguajes son independientes de la tecnología que se utilice para implementar el
sistema. Por otro lado permiten muchaflexibilidad para describir el diseño, más
Escuela Politécnica Superior – UAM

1/22

Lab. Estructura y Tecnología de Computadores I (ETC1)

Curso 2005/2006

allá de una representación estructural. Esta fase será la encargada de convertir la
representación hdl del diseño a un formato estándar de representación.
3. Verificación: Una vez sintetizado el diseño es necesario verificar si cumple con
lasespecificaciones del problema. Para ello realizaremos un banco de prueba
(testbench) que prueba lo más exhaustivamente posible el diseño.

Requisitos del problema
Como ejemplo se va a implementar en VHDL una función lógica de cuatro entradas
y dos salidas que responde a la siguiente tabla de verdad:
D

C

B

A

X

Y

0

0

0

0

0

0

0

0

0

1

0

0

0

0

1

0

1

0

0

0

1

1

0

0

0

1

0

01

0

0

1

0

1

0

0

0

1

1

0

1

0

0

1

1

1

0

0

1

0

0

0

0

0

1

0

0

1

0

0

1

0

1

0

1

1

1

0

1

1

0

1

1

1

0

0

1

1

1

1

0

1

0

1

1

1

1

0

1

1

1

1

1

1

0

1

Tabla 1. Función lógica problema

Esto se puede expresar de la siguiente manera:
X = AB + AC
Y= DB + DC

(1)

Sacando factor común, las dos ecuaciones quedan en función de (B+C):
X = A(B + C)
Y=D(B + C)

Escuela Politécnica Superior – UAM

(2)

2/22

Lab. Estructura y Tecnología de Computadores I (ETC1)

Curso 2005/2006

En un diseño basado en esquemáticos se necesitan siete puertas lógicas (4 AND, 2
OR y 1 inversor) para implementar la fórmula (1), mientras que en la fórmula (2), al
compartir ambas ecuaciones el término (B+C), sólo son necesarias cuatro puertas (ver
Figura 2.)

Figura2. Esquemático de la función lógica propuesta

Project Navigator/Design Manager (Gestor de diseños)
El Project Navigator es una herramienta distribuida por Xilinx que se encarga de
gestionar todas las etapas del flujo de diseño. Esta herramienta es una interfaz que se
monta sobre todo el conjunto de programas que participan en el diseño. Cada uno de
estos programas actúa sobre un etapa concreta deldiseño. El Project Navigator tiene las
siguientes características:



Ayuda a conectar los diversos programas entre sí de manera coherente
siguiendo el flujo de diseño.
Homogeneiza las llamadas a los programas utilizando una misma interfaz
independiente de si el proveedor del programa es Xilinx o una empresa externa.

El Project Navigator se divide en cuatro ventanas. En la esquina superior...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Tutorial VHDL
  • tutorial VHDL
  • Tutorial Vhdl
  • Tutorial VHDL
  • Contador ascendente y descendente en VHDL tutorial
  • Tutorial Lenguaje Vhdl ( Doc Que Encontre )
  • Tutorial Xillinx Ise
  • Tutorial Vhdl 1

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS