vhdl filtro digital

Páginas: 11 (2512 palabras) Publicado: 12 de junio de 2013
Implementación y simulación de filtros digitales IIR en FPGA´S utilizando System Generator de MatLab
Edilberto Carlos Vivas González
Departamento de Tecnología en Electrónica
Universidad Distrital F. J. C.
Bogotá, Colombia
ecvivasg@udistrital.edu.co
Edwar Jacinto Gómez
Departamento de Tecnología en Electrónica
Universidad Distrital F. J. C.
Bogotá, Colombia
ejacintog@udistrital.edu.coDiego Mauricio Rivera
Departamento de Ing. Eléctrica y Electrónica Universidad Nacional de Colombia
Bogotá, Colombia
dmriverap@unal.edu.co


Abstract— Este articulo muestra la implementación de filtros digitales IIR usando la herramienta System Generator de MatLab para la realización de pruebas y verificación de resultados. En este caso particular los filtros IIR se expresan enrepresentación de ecuación de estado y los coeficientes de las matrices del filtro están dados en formato de punto fijo. El filtro se describe usando el lenguaje VHDL en un formato estándar compatible con el usado por el objeto Black box del System Generator. Se implementó un filtro pasabajo de butterworth de orden 2 y ganancia 2 en una FPGA de Xilinx. Se muestran los resultados prácticos obtenidos
I.Introduction
Un FPGA (Field Programmable Gate Array) es un dispositivo con características apropiadas para la implementación de filtros digitales debido a que las celdas lógicas básicas permiten la implementación de sumadores y por ende multiplicadores de manera reducida, además los compiladores y sintetizadores optimizan la implementación de multiplicaciones por constantes tanto en tamaño como envelocidad[6].
Dada la gran densidad de compuertas con las que cuenta un FPGA, es posible implementar sistemas digitales muy complejos, entre los que se destaca el filtrado digital y el hecho de que al trabajar con lógica programable se pueden hacer modificaciones en cualquier momento sin que esto implique grandes alteraciones en el hardware.
Estos dispositivos lógicos programables permiten laejecución de operaciones matemáticas en forma paralela; la mayoría de implementaciones se realizan en forma directa II-T u otra metodología similar, ya sea utilizando una arquitectura tipo MAC o simplemente utilizando las LUT`s[6] para realizar multiplicaciones y sumas distribuidas; gracias a su paralelismo las implementaciones matriciales se pueden realizar en un solo ciclo de reloj de maneradistribuida.
Inicialmente se muestra la representación de los filtros IIR en variables de estado, lo cual permite realizaciones balanceadas; esta característica tiene la ventaja arrojar valores de los coeficientes cercanos entre sí, lo que reduce los errores de representación numérica al trabajar con una notación de punto fijo. A continuación se muestra los pasos necesarios para su posteriorimplementación, en cuanto a su representación numérica, la cantidad de bits usados en el algoritmo de truncamiento y redondeo apropiados para minimizar posibles errores numéricos; por último se muestra la implementación usando la herramienta System Generator de MatLab, la cual permite que dicho trabajo sea verificable y escalable de manera simple.
II. Implementación del filtro digital
A. Filtros IIRUn filtro IIR se define mediante la ecuación en diferencias , donde las constantes son los coeficientes del filtro [1][7].
La implementación de filtros IIR puede hacerse de varias formas; sin embargo, se obtiene mejor desempeño llevando la función de transferencia a representación de ecuación de estado puesto que esto permite minimizar los errores por representación numérica de loscoeficientes al hacer realizaciones balanceadas.



Figura 1. Implementación de filtros IIR mediante ecuación de estado

B. Representación en punto Fijo

Para la representación de números en punto fijo se toman número de bits de la palabra binaria para la magnitud (parte entera), y número de bits para la parte fraccional; el bit MSB es el bit de signo y el número se encuentra en forma de...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • filtros digitales
  • Filtros digitales
  • Filtros digitales
  • filtros digitales
  • Filtros digitales
  • Banner De Reloj Digital Vhdl
  • Filtrado analogico y digital
  • Filtros Digitales Usando Matlab

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS