Vhdl

Páginas: 3 (736 palabras) Publicado: 30 de octubre de 2011
Lenguaje de descripción de Hardware VHSIC

VHDL
Laboratorio de diseño digital
5/2/2006

Ingeniería Electrónica
1

VHDL: Antecedentes históricos
Herramientas EDA Necesidad de intercambio deinformación NETLIST

Primera descripción de un diseño mediante un lenguaje

Describe los componentes de un circuito y su interconexión El formato EDIF (Electronic Design Interchange Format) es unestándar de los lenguajes de tipo Netlist
5/2/2006 2

VHDL: Antecedentes históricos
Uso de los lenguajes de tipo Netlist Sugiere la idea de una descripción de mayor nivel de abstracción(descripción funcional)

Un lenguaje permite la edición más rápida y sencilla

Una descripción con esquemas resulta más fácil de entender
5/2/2006 3

VHDL: Antecedentes históricos
Necesidad deestandarizar los diseños
DoD

+
IEEE

Patrocinan el desarrollo en los 80´s
VHDL-87

Es estándar de IEEE en 1987 El estándar se amplía en 1993

VHDL-93

Existen otros HDL populares como ABEL yVERILOG
5/2/2006 4

VHDL: Evolución
Se inicia como un leguaje de modelado y especificación. Documentación Simulación Luego se incorporan herramientas de síntesis capaces de manejar este tipo dedescripciones

Ligado a actividades de

Ambientes integrados de diseño de ASIC´s
5/2/2006 5

VHDL: Evolución
Es un lenguaje para el modelado, simulación lógica dirigida por eventos y síntesisde sistemas de Hardware Síntesis Atraviesa verticalmente los niveles de abstracción de la descripción desde el más alto al más bajo

Subsisten problemas y algunas construcciones de alto nivel noresultan sintetizables Depende de la herramienta

5/2/2006

6

VHDL: Ventajas:
Es un estándar
Facilita la documentación, minimiza los errores de comunicación en el equipo de desarrollo eincrementa la portabilidad de los diseños.

Flexibilidad

Distintas metodologías de diseño: Top-down, Bottom-up, diseño jerárquico. Diversos niveles de descripción en los componentes de un mismo...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • vhdl
  • vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS