Vhdl

Páginas: 2 (373 palabras) Publicado: 12 de enero de 2012
Electrónica Industrial

Diseño de Sistemas Combinacionales con VHDL

Diseño de Sistemas Combinacionales Con VHDL
Andres.Iborra@upct.es Juan.Suardiaz@upct.es Enero 2006

TEMARIO

⋅1⋅ Electrónica Industrial

Diseño de Sistemas Combinacionales con VHDL

⋅2⋅

Electrónica Industrial

Diseño de Sistemas Combinacionales con VHDL

1 INTRODUCCIÓN
La lógica combinacional esprobablemente la más fácil de diseñar, ya que en cada momento las salidas de un circuito combinacional sólo dependen del estado actual de sus entradas (el circuito no tiene memoria). En esta unidad semodelarán los circuitos combinacionales más utilizados en el diseño lógico mediante el lenguaje de descripción de hardware VHDL.

2 DISEÑO BASADO EN ELEMENTOS MSI
Un circuito combinacional práctico puedetener docenas de entradas y salidas y puede requerir cientos, miles, e incluso millones de términos para describir como una suma de productos, y miles y miles de millones de renglones para describiren una tabla de verdad. De este modo, la mayoría de los problemas de diseño lógico combinacional reales son demasiado grandes para resolverse mediante la aplicación de la “fuerza bruta” de técnicasteóricas. La clave del desarrollo de estos sistemas es el pensamiento estructurado. Un circuito o sistema complejo se concibe como una colección de subsistemas más pequeños, cada uno de los cuales tieneuna descripción más sencilla. Estos bloques combinacionales de construcción constituyen los ladrillos con los que se edifican los sistemas combinacionales. Uno de los bloques electrónicos másimportantes de este tipo lo constituyen los denominados elementos MSI (Medium Scale of Integration) combinacionales estudiados en la asignatura de Electrónica Digital: multiplexores, decodificadores,comparadores, sumadores y demás. Las estructuras más importantes se describen en esta unidad desde una perspectiva de los lenguajes de descripción de hardware, en concreto usando el lenguaje VHDL.

⋅3⋅...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS