Vhdl

Páginas: 3 (670 palabras) Publicado: 30 de abril de 2012
Pasos para capturar y Simular VHDL en Quartus.

1.- Ubicar el grupo de programas de ALTERA y hacer clic en QUARTUS II 7.0 WEB EDITION.

enseguida aparece la zona de trabajo:

2.- En el menúFILE escoge NEW PROJECT WIZARD, aparece la siguiente ventana:

en la primer caja de texto escribe la ruta donde quedara almacenado tu proyecto, recuerda almacenar cada proyecto en una caretadiferente, en este caso la carpeta se llama PRUEBA1; en las siguientes cajas de texto escribe el nombre del proyecto y el nombre del archivo principal, es recomendable que estos tres nombres sean los mismos.Hacer clic en NEXT. Si el directorio no existe, te preguntara si quieres crearlo, has clic en SI. 3.- En la siguiente ventana, se te pregunta si quieres agregar algún archivo que hayas realizadoanteriormente, si es así selecciona la ruta y haz clic en ADD, de lo contrario haz clic en NEXT.

4.- Enseguida se te pide que selecciones el dispositivo que vas a programar, selecciónalo de la lista yhaz clic en NEXT.

5.- La siguiente ventana pregunta acerca de herramientas de simulación extras que se pudieran utilizar, dejamos sin seleccionar las tres y hacemos clic en NEXT.

6.- Por último,aparece una ventana donde se resumen las acciones realizadas, haz clic en FINISH.

7.- Hasta aquí hemos creado la estructura básica del proyecto, lo siguiente es crear el archivo VHDL haciendo clicen FILE -> NEW y seleccionar VHDL FILE, hacer clic en OK;

8.- Enseguida aparece un espacio en blanco en el que debes escribir el código vhdl.

Project Navigator

Lugar para escribir código9.- Con el código VHDL escrito, se debe guardar el archivo y verificar que se encuentre en la pestaña FILES del PROJECT NAVIGATOR

10.- Enseguida se debe compilar, esto en el menú PROCESSING ->START COMPILATION o en el icono ventana: . Si no existe ningún error, aparece la siguiente

11.- La simulación se inicia creando un archivo de vectores, FILE -> NEW -> OTHER FILES -> VECTOR WAVE...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS