Vhdl

Páginas: 6 (1412 palabras) Publicado: 4 de junio de 2012
CAPITULO II



• UNIDADES BASICAS DE DISEÑO



2.1 DESCRIBA LOS 5 TIPOS DE UNIDADES DE DISEÑO EN VHDL

• Declaración de entidad

• Arquitectura

• Configuración

• Declaración del paquete

• Cuerpo del paquete

2.2 DETERMINE CUALES SON LAS UNIDADES DE DISEÑO NECESARIAS PARA REALIZAR UN PROGRAMA EN VHDL

• Declaración de entidad

•Arquitectura

• Configuración

• Declaración del paquete

• Cuerpo del paquete

2.3 MENCIONE LAS UNIDADES DE DISEÑO PRIMARIAS Y SECUNDARIAS



|PRIMARIAS |SECUNDARIAS |
|Declaración de identidad |Arquitectura|
|Paquete |Cuerpo del paquete |
|configuración | |


• DECLARACION DE ENTIDADES



2.4 DESCRIBA EL SIGNIFICADO DE UNA ENTIDAD Y CUAL ESSU PALABRA RESERVADA

• Son todos los elementos electrónicos (sumadores, contadores, compuertas, flip- flops, memorias, multiplexores, etc.) que forman de manera individual o en conjunto un sistema digital

2.5 En la siguiente declaración de identidad indique

Library ieee;

use ieee.std_logic_1164.all;

entity seleccion is port (

x; in std_logic_vector (0 to 3);

f: outstd_logic);

end seleccion;

A) El nombre de la identidad: seleccion

B) Los puertos de entrada: x

C) Los puertos de salida: f

D) El tipo de dato: std_logic



2.6 señale cuales de los siguientes identificadores son correctos o incorrectos, colocando en las líneas de respuesta la letra “C” o “I”, respectivamente

|1logico |I|Desp_laza |C |
|Con_trol |C |N_ivel |I |
|Pagina |C |Architecture |C |
|Registro |C |S_umaH |I |
|2suma |I |Res__ta|I |


2.7.- Declare la identidad de la siguiente compuerta



1. --Declaracion de identidad compuerta

2. Entity compuerta is;

3. Port (A,B: in bit;

4. C: out bit);

5. End compuerta



2.8.- Declare la identidad para el siguiente circuito



1. --Declaracion de identidad Demo

2. Entity Demo is;

3. Port(A,B: in bit;

4. Demo: out bit);

5. End Demo;



2.9 declare la entidad para el circuito (utilice vectores)



1. --Declaracion de identidad de circuito mediante vectores

2. Entity promedio is

3. Port ( A,B: in bit_vector (2 downto 0);

4. C: out b it_vector (2 downto 0));

5. End promedio



2.10 declare al entidad para el circuitoutilize vectores



1. --declaracion de identidad de circuito mediante vectores

2. Entity circuito is

3. Port ( A,B: in bit_vector (0 downto 3);

4. C: out b it_vector (0 downto 3));

5. End circuito



2.11 describa que es una libreria en VHDL



• Es un lugar al que se tiene acceso para utilizar las unidades de diseño predeterminadas por elfuncionamiento de la herramienta (paquete) y su función es agilizar el diseño. En VHDL se encuentran definidas 2 librerias ieee y work

• Ieee se encuentra en el paquete std_logic_1164

• Work: se hallan (numeric_std) std_ariyh y gatespkg



2.12 Indique el significado de la sigiente expression: use ieee.std_logic_1164.all;



• Ieee es la librería

• Std_logic_1164 es...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS