vhdl

Páginas: 4 (776 palabras) Publicado: 4 de diciembre de 2013
INFORME
LABORATORIO No.1
Implementación de sistemas combinacionales en VHDL
Diseño de una ALU

Nelson Antonio Becerra Carrillo
nelsonabc25@hotmail.com

Jaime Alberto López Rincónjaimealopezr@yahoo.com

Universidad del Quindío
Programa de Ingeniería Electrónica
Facultad de Ingenierías
Armenia, Colombia
Septiembre de 2004

OBJETIVOS



Apropiarse de las técnicas deprogramación en lenguajes de descripción en
hardware para la definición de circuitos lógicos combinatorios.



Desarrollar un programa en VHDL que permita realizar diferentes
operaciones matemáticas ylógicas que son características de una ALU
(Unidad Aritmética Lógica).



Familiarizarse con el manejo de las herramientas de desarrollo de
CPLD/FPGAs de Xilinx.



Determinar la técnica másoptimizada para implementación de circuitos
lógicos combinatorios.

DESCRIPCIÓN DEL PROBLEMA

En este laboratorio se pretende implementar una Unidad Aritmético Lógico de 8
bits. La unidad decontar con las siguientes operaciones:
















Suma,
Resta,
Complemento a 1,
Complemento a 2,
rotación a la izquierda,
rotación a la derecha,desplazamiento a la derecha,
desplazamiento a la izquierda,
and,
nand,
or,
nor,
xor,
xnor,
comparación.

Así mismo, debe poseer las siguientes salidas:





acarreo/prestamo,
resultado =0/comparación A = B verdadera
resultado negativo/comparación A < B verdadera
resultado positivo/comparación A > B verdadera

Para probar el desempeño de la implementación proponer diferentes esquemasde
programación del sistema en notación de flujo de datos y comportamental, con el
fin de verificar en el Fitting Report el porcentaje de bloques lógicos configurables
empleados.

DESCRIPCIÓN DELA SOLUCIÓN
Para realizar la implementación de la ALU propondremos una entidad como la que
se muestra a continuación.

Los vectores A y B serán las entradas, S será el seleccionador para la...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS