vhdl

Páginas: 4 (961 palabras) Publicado: 13 de abril de 2014
TRABAJO GRUPAL 3

1. INVESTIGAR LOS SIGUIENTES CONCEPTOS DE VHDL: (PARTE DEL MATERIAL PARA EL PARCIAL TEORICO #1)

ORIGEN DE VHDL

El desarrollo de VHDL fue iniciado en 1981 por los EstadosUnidos Departamento de la Defensa para hacer frente a la crisis del ciclo de vida de hardware. El costo de los equipos electrónicos como reprocuring tecnologías obsoletas se convirtió en llegar a unpunto crítico, porque la función de las partes no está debidamente documentado, y de los diversos componentes que integran un sistema individual se verifica por medio de una amplia gama de diferentes eincompatibles idiomas y herramientas de simulación. El requisito era disponer de un idioma con una amplia gama de capacidad descriptiva, que funciona de la misma en cualquier simulador y esindependiente de la tecnología o metodología de diseño.

VENTAJAS DE VDHL,

El VHDL es un estándar llamado IEEE 1076-1993. Sus ventajas son:
Una disponibilidad pública
Independencia de dispositivos yfabricantes
Reutilización
Diseño jerárquico


DESVENTAJAS DE VDHL,

1. Las herramientas de diseño son complejas 

2. Son lentas 
3. Pueden generarse latches no deseados,p.ej. cuando todas lasopciones de una sentencia condicional no están especificadas


COMO SE DECLARA UNA ENTIDAD,

Un proyecto de VHDL puede contener muchos ficheros. El código VHDL usualmente se encuentra en losficheros con extensión *.vhd. La estructura típica de uno de estos ficheros es:
Llamadas a librerías

Entidad

Arquitectura(s)
A continuación se muestra la sintáxis de una entidad.
ENTITYnombre IS
[GENERIC(lista de parámetros);]
[PORT(lista de puertos);]
END [ENTITY] nombre;



3 EJEMPLOS DE DECLARACION DE ENTIDAD,

A continuación se muestra un ejemplo deuna entidad, con una serie de constantes y señales de entrada y salida.

1)-- ENTITY mux IS
GENERIC(
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32
);...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS