VHDL

Páginas: 2 (423 palabras) Publicado: 17 de febrero de 2015
ELECTRÓNICA DIGITAL. TEMA 9-A

Introducción a Lenguajes de
Descripción de Hardware

VHDL (1)
ÍNDICE
1. Introducción. Forma Básica del Código VHDL
2. Tipos de Arquitecturas
3. DeclaraciónENTITY
4. Bibliotecas Estándar
5. Declaración ARCHITECTURE
6. Tipo de Declaraciones: Simultáneas y Secuenciales
7. Introducción al programa ispLevel Classic

Introducción a VHDL
HardwareDescription Languages (HDLs)
Los Lenguajes de Descripción de Hardware (HDL) son un tipo de lenguaje que permiten
definir la representación de circuitos lógicos.
HDL es una herramienta para laimplementación de un diseño lógico en un Dispositivo Lógico
Programable (PLD). Ejemplos: HDLs: ABEL, VERILOG, VHDL.

También como lenguaje de programación paralelo de propósito general
VHDL – Very High SpeedIntegrated Circuit Hardware Description Language.


Desarrollado por el Departamento de Defensa de Estados Unidos.



Estandarizado por IEEE (Institute of Electrical and Electronics Engineers)en 1987
(IEEE estándar 1076-1987)



Ampliamente utilizado en dispositivos programables para convertir diseños
digitales en matrices de bits.



El circuito se describe a través un listadode texto formado por múltiples sentencias.
Estructura formal muy rígida

Introducción a VHDL
Forma Básica del Código VHDL
Cada descripción de VHDL consta al menos de dos bloques: entidad yarquitectura
(entity / architecture) o de una entidad con múltiples arquitecturas.

o
o

La sección entity describe las entradas/salidas del circuito a base de
puertos (ports).
La secciónarchitecture describe el contenido lógico
entity

architecture

ports
Antes de la declaración de entity se incluyen librerías o bibliotecas de diseño
estándar (design libraries) donde describen diseños(ej. Puertas lógicas) para su
posterior utilización.

Introducción a VHDL
TIPOS DE ARQUITECTURAS
En VHDL, existen tres enfoques para describir la lógica de un circuito:

1. Structural...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS