Vhdl

Páginas: 2 (401 palabras) Publicado: 3 de septiembre de 2010
Sección de Electricidad y Electrónica

VHDL Segunda Parte

1

Sección de Electricidad y Electrónica

Contenido
1.Código Concurrente 2.Señales 3.Agrupación de Subcircuitos Mediante Libreríasy Paquetes 4.Uso del Port Map 5.Ejemplo 6.Bibliografía

2

Sección de Electricidad y Electrónica

1: Código Concurrente

3

Sección de Electricidad y Electrónica

1. Código ConcurrenteSon declaraciones que se ejecutan en paralelo, es decir al mismo tiempo y representan las distintas partes de un circuito. La forma más simple de código concurrente es la descripción de las salidasdel circuito en función de las entradas, por medio de la expresión lógica que las relacionan:

Entradas A, B, C, D

Expresiones Lógicas F = F(A, B, C, D) G = G(A, B, C, D) H = H(A, B, C, D)Salidas F, G, H

4

Sección de Electricidad y Electrónica

1. Código Concurrente Ejemplos de descripción concurrente: Sumador Completo 1bit
library ieee; use ieee.std_logic_1164.all; entityfulladder is port(a,b,cin : in std_logic; s,cout : out std_logic); end fulladder; architecture arq1 of fulladder is begin s temp(0) );

a b

MUX

temp(0)

temp(0) sel(0)
MUX

temp(1)

f

c dMUX

temp(1)

sel(1)

sel(0)

34

Sección de Electricidad y Electrónica

5. Ejemplo: Multiplexor de 4 a 1
U1: mux2a1 PORT MAP ( x=>c , y=>d , sel=>sel(0) , z=>temp(1) );

a b

MUXtemp(0)

temp(0) sel(0)
MUX

temp(1)

f

c d

MUX

temp(1)

sel(1)

sel(0)

35

Sección de Electricidad y Electrónica

5. Ejemplo: Multiplexor de 4 a 1
U2: mux2a1 PORT MAP( x=>temp(0) , y=>temp(1) , sel=>sel(1) , z=>f );

a b

MUX

temp(0)

temp(0) sel(0)
MUX

temp(1)

f

c d

MUX

temp(1)

sel(1)

sel(0)

36

Sección de Electricidad yElectrónica

5. Ejemplo: Multiplexor de 4 a 1

La entrada A pasa a la salida

La entrada B pasa a la salida

La entrada C pasa a la salida

La entrada D pasa a la salida

37

Sección de...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS