VLSI PICOBLAZE

Páginas: 12 (2875 palabras) Publicado: 17 de febrero de 2015
Tabla de Contenido
1. PLANTEAMIENTO DEL PROBLEMA 4
2. OBJETIVOS 4
2.1. Objetivo general 4
2.2. Objetivos específicos 4
3. MARCO TEORICO 5
3.1. PICOBLAZE 5
3.1.1. Módulos HDL para PicoBlaze 5
3.2. El Núcleo KCPSM3 5
3.2.1. Beneficios 6
3.2.2. Características básicas
3.2.3. Puertos I/O 6
3.2.4. Instrucciones 8
3.4. Proceso para la creación de un proyecto en vhdl con picoblaze 103.4.1. Descargar PicoBlaze: 10
3.4.2. Copiar archivos 10
3.4.3. Montaje de códigos de idiomas 10
3.4.4. Ejecutar el ensamblador 11
3.4.5. Navegador de proyectos 15
3.5. Arduino DUE 18
3.5.1. ADC en Arduino DUE (analogReadResolution) 19
3.5.2. DigitalWrite 19
4. DIAGRAMAS 20
4.1. Diagrama de Bloques 20
20
4.2. Diagrama de bloques 20
5. LISTA DE COMPONENTES 20
6. MAPA DE VARIABLES 21
6.1.ADC.vhd 21
6.2. KCPSM3 21
6.3. ArduinoDUE 22
7. DESCRIPCION DE PRERREQUISITOS Y CONFIGURACION 23
8. CONCLUSIONES 24
9. RECOMENDACIONES 24
10. APLICACIONES 25
11. CRONOGRAMA 25
12. BIBLIOGRAFÍA 26
13. ANEXOS 26
13.1. Manual de usuario 26
13.2. Resultados del Programa Antiplagio 29
13.3. Hojas técnicas 29
13.3.1. Due-pinout 29



















1. PLANTEAMIENTO DELPROBLEMA
Como se puede elaborar una aplicación utilizando Picoblaze que obtenga datos de un ADC para desplegar su valor en una serie de leds mediante el uso de una tarjeta de desarrollo Spartan 3E

2. OBJETIVOS

2.1. Objetivo general
Desarrollar e implementar una aplicación con el micro PicoBlaze que obtenga datos de un ADC, en una tarjeta FPGA Xilinx Spartan 3E.

2.2. Objetivosespecíficos
Investigar el desarrollo de la apliaccion PicoBlaze
Desarrollar los diferentes pasos para implementar una aplicación en PicoBlaze
Desplegar los datos del ADC en el modulo FPGA

3. MARCO TEORICO
3.1. VHDL


Fig 1. Definicion de VHDL

3.2. FPGA


Fig 6. Definiciones de FPGA

3.3. PICOBLAZE

PicoBlaze es la designación de una serie de tres núcleos de procesador suaves libresde Xilinx para su uso en sus FPGA y CPLD productos. Se basan en un 8bit RISCArquitectura y pueden alcanzar velocidades de hasta 100 MIPS en el Virtex 4 FPGA familia's. Los procesadores tienen una dirección de 8 bits y puerto de datos para el acceso a una amplia gama de periféricos. La licencia de los núcleos permite su uso gratuito, aunque sólo en los dispositivos de Xilinx, y vienen con lasherramientas de desarrollo .Herramientas de terceros están disponibles en Mediatronix y otros. También PacoBlaze , una aplicación independiente del dispositivo del comportamiento y de los núcleos existe y es liberado bajo la licencia BSD .

El diseño PicoBlaze fue originalmente llamado KCPSM que significa "constante (K) con código de máquina programable Estado" (anteriormente "PSM de Ken Chapman"). KenChapman fue el diseñador de sistemas de Xilinx que ideó e implementó el microcontrolador

3.3.1. Módulos HDL para PicoBlaze
Los modulos para PicoBlaze se clasifican en:
KCPSM3 es el procesador (constant (K) coded programmable state mchine).
Módulo para memoria de instrucciones. Allí se almacena el código ensamblado.




3.4. El Núcleo KCPSM3
Kcpsm3 es un microcontrolador simple de 8 bitsprimario utilizado para la tarjeta Spartan 3, puede ser utilizado para proceso de datos, puede ser utilizado para proceso de datos, esparevido a las aplicaicones epleadas complejas
Todas las instrucciones se ejecutan en dos ciclos de reloj, por lo que el rendimiento de la instrucción conjunto básico determinista. Respuesta de interrupción no es más de cinco ciclos de reloj. Como una optimizaciónde los recursos, es posible que dos núcleos PicoBlaze compartan el mismo 1k x 18 instrucción PROM, aprovechando la doble puerto aplicación de este bloque en Xilinx FPGAs.

3.4.1. Beneficios


3.4.2. Puertos I/O
Los puertos de entrada/salida permiten conectar al microprocesador a otros módulos o a los periféricos del FPGA. El microprocesador admite manejar 256 puertos de entrada y 256...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vlsi
  • Microprocesador picoblaze
  • Vlsi
  • Tema Practiv VLSI
  • Picoblaze intro
  • lsi y vlsi
  • resumen libro vlsi
  • DISEÑO DE CIRCUITO INTEGRADO EN VLSI 74AC138

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS