Implementacion con CPLD UN CONTADOR BINARIO.

Páginas: 3 (600 palabras) Publicado: 4 de noviembre de 2014
Implementar en el CPLD XC9572 un Contador BCD con permiso de reloj y borrado asíncrono. Su salida será decodificada a 7 segmentos sobre una DISPLAY de cátodo común de 7 segmentos.

Se utilizarael programa xilinx 10.1 para hacer esta práctica
La función de este ejercicio es aprender a crear un símbolo (decodificador BCD/7seg), a partir de un código vhdl.


Ahora bien hay que empezar aañadir símbolos en el esquemático en nuestro entorno de trabajo.
1-colocaremos un contador BCD llamado “CD4CE”.
2-un buffer global para el reloj llamado “BUFG”
3- un buffer global para el set/resetllamado “BUFGSR”.
4-un decodificador BCD/7 SEGMENTOS el cual se creara mediante el código vhdl.
5-siete buffer “OBUF” para los led’s que contiene el display 7 segmentos.

Diseñando eldecodificador BCD/7 SEG.
Para diseñar el decodificador BCD-7SEG hay que transformar el código vhdl ,a un símbolo para que sea utilizado en el esquemático .
Lo primero es añadir una fuente tipo vhdl a nuestrodiseño:

Luego de dar a ”next” saldrá otra ventana en donde finalizamos poniendo los puertos de la entidad, es decir un bus llamado ‘HEX’ de 4 entradas y otro bus de 7 salidas llamado ‘LED’.

Elcontenido de este fichero es una plantilla en la que se ha definido la entidad y falta por definir la arquitectura que describirá el funcionamiento funcional de la entidad.

A continuación buscaremosuna plantilla para definir la arquitectura , para eso hay que ir a Edit en la opción ---> Lenguage Templates, en la parte de VHDL/ Synthesis Constructs/Coding Examples/Misc/7-Segment display.Esta plantilla es de un display 7 segmento de ánodo común y la que se necesita es de cátodo común así k hay que cambiar los “0” por “1” en la parte izquierda de la imagen y ya teniendo estaplantilla lo que hay que hacer es pegarla en el código que hemos generado anteriormente como se muestra a continuación:

Y ya teniendo todo esto lo que nos queda es generar el símbolo del decodificador...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Contador binario
  • Contador binario
  • Cuestionario contador binario
  • Diseño Contador Binario
  • contador binario de 0 a 255
  • Contador Binario 4 Etapas En Microwind
  • Contador binario 4 bits 74163
  • contador binario

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS