manejo fpga

Páginas: 3 (737 palabras) Publicado: 1 de abril de 2013
Abstract—In practice this is done by programming VHDL, in
order to apply a logical device, which in this case is a FPGA
(DE2-115). Which allows us to display numbers in a display, just
as is thelighting of a LED high for a second and equally off.
Resumen—En esta práctica se hace una programación por
medio de VHDL, para así poder aplicarla en un dispositivo
lógico, que en este caso es unaFPGA (DE2-115). El cual nos
permite visualizar números en un Display, del mismo modo se
el encendido de un LED en alto por un segundo y apagado
igualmente. Index Terms—QUARTUS, MODELSIM, FPGA.
I.OBJETIVO
En esta práctica se implementar un programa en un dispositivo
lógico FPGA, con los conocimientos adquiridos en
el transcurso del curso. Hacer énfasis para así hacer una
aplicaciones muchomas adelante.
II. INTRODUCCIÓN
VHDL, viene de VHSIC (Very High Speed Integrated Circuit)
Hardware Description Language. VHDL es un lenguaje
de descripcion y modelado diseñado para describir (enuna
forma que los humanos y las maquinas puedan leer y entender)
la funcionalidad y la organizacion de sistemas hardware
digitales, placas de circuitos, y componentes.
VHDL fue desarrollado como unlenguaje para el modelado
y simulacion logica dirigida por eventos de sistemas digitales,
y actualmente se lo utiliza tambien para la sintesis automatica
de circuitos. El VHDL fue desarrollado deforma muy parecida
al ADA debido a que el ADA fue tambien propuesto como
un lenguaje puro pero que tuviera estructuras y elementos sintacticos
que permitieran la programacion de cualquier sistemahardware sin limitacion de la arquitectura. El ADA tena una
orientacion hacia sistemas en tiempo real y al hardware en
general, por lo que se lo escogio como modelo para desarrollar
el VHDL.
VHDLes un lenguaje con una sintaxis amplia y exible
que permite el modelado estructural, en flujo de datos y
de comportamiento hardware. VHDL permite el modelado
preciso, en distintos estilos, del...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • fpga
  • Fpga
  • FPGA
  • FPGA
  • Fpga
  • FPGA
  • Fpga
  • FPGA

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS