Contador De 4 Bits

Páginas: 6 (1390 palabras) Publicado: 21 de noviembre de 2012
Contador de 4 bits
Ángel de Jesús López Pérez, Maribel Cuamatzi Muñoz, Nancy Estephania Mino Morales, Sheyla Moreno de Jesús, Virginia Cebada Hernández.
Benemérita Universidad Autónoma de Puebla
Facultad de Ciencias de la Computación. Av. San Claudio y 14 Sur. Ciudad Universitaria.
C.P. 72570. Tel. (222) 2 229 55 00 Extensión 7200
aj.lopez@outlook.com, marych_36@hotmail.com,mcr_nancy@hotmail.com, shey-shey_24@hotmail.com, cehevi_15@outlook.com

Abstract— the counters are logical entities that have frequently used in logical design. The most usually form to describe the counters is with increment or decrement operations.
Counter is a device which stores (and sometimes displays) the number of times a particular event or process has occurred, often in relationship to a clocksignal.

Resumen— Los contadores son entidades lógicas que frecuentemente se usan en diseño digital. La forma más usual de describir los contadores es con operaciones de incremento y decremento.
Un contador es un circuito secuencial construido a partir de biestables y puertas lógicas capaz de realizar el cómputo de los impulsos que recibe en la entrada destinada a tal efecto, almacenar datos oactuar como divisor de frecuencia.

Nomenclatura
VHDL Hardware Description Language
FPGA Field Programmable Gate Array
Introducción
En la actualidad, los sistemas digitales son muy utilizados y variados para diferentes tipos de aplicaciones las cuales en su mayoría son aplicadas en la industria y en mayor parte de los equipos electrónicos.
Es por esto que es necesario saber a grandes rasgoslas aplicaciones, y cómo funcionan los diferentes tipos de sistemas digitales, así nosotros poder desarrollar la capacidad de aplicar y de poder trabajar con ellos sin ningún tipo de dificultad.
Es por esto que a continuación le presentamos el siguiente trabajo, destinado a comprender de mejor manera las distintas funciones y aplicaciones de los contadores.

Marco Teórico
Un contador esun circuito secuencial construido a partir de biestables y puertas lógicas capaz de realizar el cómputo de los impulsos que recibe en la entrada destinada a tal efecto, almacenar datos o actuar como divisor de frecuencia.
En general, si un contador requiere n transiciones para regresar a la condición inicial, decimos que es un contador módulo-n. El término técnico se ha tomado “prestado” directamente delcampo de las matemáticas, en donde tenemos aritméticas finitas módulo-n en las cuales al ir contando hacia arriba la suma no se va acumulando indefinidamente sino que, al llegar al número n, el conteo comienza nuevamente otra vez de cero. (Es una lástima que aquellos estudiantes que tienen problemas para entender las aritméticas modulares no tengan acceso a simuladores lógicos en los cuales concircuitos como los flip-flops J-K se pueden apreciar aritméticas modulares en acción. En otras palabras, el asunto de las aritméticas modulares no es un asunto meramente teórico que inventaron unos matemáticos ociosos que no tenían mejor cosa que hacer. Es algo que tiene aplicación directa en la práctica, y aquí lo estamos viendo en acción.)
Es posible construir de una manera muy sencillaun contador binario de conteo ascendente con el simple hecho de conectar varios flip-flops J-K en cascada. Si denotamos el estado de cada flip-flop J-K como Q, y conectamos cuatro flip-flops J-K en cascada, entonces representando el estado del contador como Q1Q2Q1Q2 y empezando el conteo binario desde cero.

Desarrollo de la Práctica
Objetivo de la práctica
El objetivo de esta práctica, es programaren VHDL el funcionamiento de un contador binario, utilizando el programa Xilinx, para ver su funciomaniento en una Spartan 6.

Figura 1. Contador-----

Metodología
Esta práctica se va implementar en un dispositivo lógico programable, es este caso sobre un FPGA de Xilinx de la familia Spartan-6. Los pasos a realizar son:

1. Crear un proyecto nuevo en el entorno Xilinx Design Suite...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • contador de 4 bits en VHDL
  • Contador binario 4 bits 74163
  • COntador 4 bits
  • Diseño fisico contador 4 bits
  • practica de un contador de 4 bits (electronica)
  • Contador De 2 Bits
  • sumador de 4 bits
  • Sumador de 4 bits

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS