max plus 2

Páginas: 7 (1556 palabras) Publicado: 15 de julio de 2014
UNIVERSIDAD NACIONAL TECNOLOGICA DEL
CONO SUR DE LIMA
CIRCUITOS DIGITALES II
Guía para realizar el primer diseño con el
Max+Plus II
Introducción
A través de esta guía aprenderás como hacer un diseño utilizando el programa
Max+plus II del curso de Circuitos Digitales II.
Mediante un ejemplo sencillo veremos como ingresar un diseño, como simularlo,
como asignar las señales deseadas a lospines adecuados del chip y finalmente
como programarlo.
El circuito que diseñaremos consiste en un circuito combinatorio que tiene como
entrada la posición de 4 switches y como salida el estado de 2 leds.

Las salidas deberán cumplir con las siguientes ecuaciones:
dl1 = ab!c + !abc + bd
dl2 = ac + !b!d
Siendo abcd el estado del switch S4[4..1].
Construir la tabla de verdad de estasfunciones y verificar que las expresiones son
mínimas.

Antes de comenzar...
1. Crear un directorio de trabajo en el disco duro de la máquina (por ej:
c:\archivos de programa\max2work\d+)
2. Abrir el programa

¿Cómo crear un proyecto?
3. Crear un nuevo proyecto.
Para esto, en el menú File, elegir Project y luego Name. Seleccionar el directorio
de trabajo (por ej: c:\archivos deprograma\max2work\d+). Ingresar el
nombre del proyecto. Comenzaremos con el proyecto f1.
4. En el menú MAX+plus II elegir Graphic Editor. Se abrirá una ventana en la cual
se podrá comenzar a ingresar el circuito en forma gráfica. Guardar este archivo
como f1.gdf. En este archivo dibujaremos el circuito combinatorio correspondiente
al led dl1.
5. Para ingresar una compuerta, hacer doble clic en la zona dedibujo. Se abrirá
una ventana que permite seleccionar el componente a ingresar. Teclear en el
cuadro Symbol Name el tipo de compuerta indicando cantidad de entradas.
Ejemplos: or2 (OR de 2 entradas), and4 (AND de 4 entradas), not (inversor), etc.
6. Las entradas y salidas del circuito se ingresan como cualquier componente, y
sus nombres son input y output respectivamente. Los nombres dedichas señales
se pueden cambiar haciendo doble clic sobre su etiqueta.
7. Para conectar los distintos componentes hacer clic en uno de los terminales de
la compuerta y arrastrar el mouse hasta el punto que se desea conectar.
8. Una vez dibujado el circuito y salvado, se puede proceder a la compilación. En el
menú MAX+plus II seleccionar Compiler. Se abrirá la ventana del compilador. Ir al
menúProcessing y seleccionar Functional SNF Extractor, esto permitirá trabajar
con el sistema a nivel lógico, sin considerar problemas de implementación física
(retardos, etc.). Presionar el botón Start para iniciar la compilación.
El archivo que se compila debe tener el mismo nombre que el proyecto. Al
terminar, un cuadro indicará si hubo errores. Luego de corregidos todos los errores
se deberáver en la pantalla algo similar a la siguiente figura para f1

9. Cerrar todas las ventanas dentro del programa y repetir los pasos 3 a 8 para el
proyecto f2 correspondiente al circuito combinatorio del led dl2.

10. Ahora generaremos el proyecto tutorial. Para esto debemos primero generar
los símbolos f1 y f2. Para esto abrir f1.gdf y en el menu File seleccionar Create
Default Symbol.Repetir esto para f2. Luego de creados los símbolos cerrar todas
las ventanas del programa y repetir los pasos 3 a 8 para crear el proyecto tutorial.
Al hacer doble clic en la zona de dibujo aparecerán disponibles los símbolos f1 y f2
para ser insertados en el proyecto.

¿Cómo simular un proyecto?
11. Seleccionar el proyecto que se desea simular. Para esto, en el menú File, elegir
Project yluego Name. Comencemos seleccionando f1.
12. Si la compilación no tuvo errores, se puede pasar a la simulación funcional del
proyecto. En el menú MAX+plus II seleccionar Waveform editor. Se abrirá el
editor de formas de onda en el cual se pueden dibujar las entradas para la
simulación. Dos valores importantes para fijar inicialmente son el tamaño de la grilla
(menú Options > Grid size) y el...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Como usar VHDL con Max Plus II
  • Uso Del Max Plus
  • Ensayo Max Neeff Pedagogia 2
  • caratula pai plus 2
  • Ética Protestante Max Weber Capítulos 1 Y 2
  • Plus
  • plus
  • plus

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS