Multiplexor

Páginas: 3 (661 palabras) Publicado: 14 de octubre de 2015
MULTIPLEXORES
GRUPO: 7

ALUMNOS :

JAVIER PÉREZ GUTIÉRREZ.
SAMUEL ECHEVRRÍA ACEREDA.
PABLO COSÍO.

Definición:
Un multiplexor es un circuito digital que selecciona una de entre varias entradas dedatos Ii y lleva su valor lógico a la única salida Z del circuito. La selección de los datos
se realiza mediante una o varias entradas de control Sj. La codificación binaria
resultante de las entradas Sindica el índice de la entrada I que pasa a la salida.
Existiendo una entrada de habilitación (enable),la cual pone en funcionamiento el
circuito,y trabaj en bajo áctivo.

ESQUEMA BÁSICO DELFUNCIONAMIENTO DE UN MULTIPLEXOR 2 INPUTMUX

***En el esquema se puede apreciar que existen dos entradas posibles,y el valor que
tenga la salida depende de la señal de control SEL.

ESQUEMA DE MULTIPLEXORESSu funcionamiento es como sigue:
Con la combinación binaria presente en las entradas de selección, escogemos la entrada
de información cuyo valor se va a transmitir a la salida.

Diseño un multiplexorde 2 canales de entrada.
1º) Se procede a la implementación de una tabla de verdad,en la cual se aprecian los
valores de la salida dependiendo de el valor de la señal de control y de la señal dehabilitación(enable):
Ejemplos de explicación:
1-.Si el Enable está en alto activo,el circuito está apagado.
2-.Si el Enable está en bajo activo,el circuito funciona y selecciona la entrada
atribuida a laseñal de control.

Enable
0
0
0
0
0
0
0
0
1

D1
0
0
0
0
1
1
1
1
X

D0
0
0
1
1
0
0
1
1
X

S0
0
1
0
1
0
1
0
1
X

Z
0
0
1
0
0
1
1
1
0

2º) Minimización mediante mapas de Karnaugh:

Función en formaSOP:

 (2,5,6,7)

3º) Diseño del circuito y simulación mediante puertas lógicas.

4º) Programación de un multiplexor en lenguaje VHDL

library ieee;
use.ieee.std_logic_1164.all;
entity multiplexor4to1is
port (I: in std_logic_vector(3 downto 0);  Entradas de datos
S: in std_logic_vector(1 downto 0);  Entradas de selección
E: in std_logic;
 Entrada de habilitación
Z: out std_logic);
 Salida...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • multiplexores
  • Multiplexor
  • Multiplexores
  • Multiplexor
  • Multiplexor
  • multiplexores
  • multiplexores
  • Multiplexor

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS