74LS181-ALU-

Páginas: 3 (518 palabras) Publicado: 10 de abril de 2013
Unidad Aritmética Lógica (ALU).
En computación, la unidad aritmético lógica, también conocida como ALU (siglas en inglés de arithmetic logic unit), es un circuito digital que calcula operacionesaritméticas (como suma, resta, multiplicación, etc.) y operaciones lógicas (si, y, o, no), entre dos números.
Muchos tipos de circuitos electrónicos necesitan realizar algún tipo de operaciónaritmética, así que incluso el circuito dentro de un reloj digital tendrá una ALU minúscula que se mantiene sumando 1 al tiempo actual, y se mantiene comprobando si debe activar el sonido de la alarma, etc.Tiene un registro muy importante conocido como: Acumulador ACC Al realizar operaciones aritméticas y lógicas, la UAL mueve datos entre ella y el almacenamiento. Los datos usados en el procesamiento setransfieren de su posición en el almacenamiento a la UAL.

Los datos se manipulan de acuerdo con las instrucciones del programa y regresan al almacenamiento. Debido a que el procesamiento no puedeefectuarse en el área de almacenamiento, los datos deben transferirse a la UAL. Para terminar una operación puede suceder que los datos pasen de la UAL al área de almacenamiento varias veces.Operaciones simples
La mayoría de las ALU pueden realizar las siguientes operaciones:
• Operaciones aritméticas de números enteros (adición, sustracción, y a veces multiplicación y división, aunque ésto esmás complejo)
• Operaciones lógicas de bits (AND, NOT, OR, XOR, XNOR)
• Operaciones de desplazamiento de bits (Desplazan o rotan una palabra en un número específico de bits hacia la izquierda o laderecha, con o sin extensión de signo). Los desplazamientos pueden ser interpretados como multiplicaciones o divisiones por 2.

Operaciones complejas
1. Diseñar una ALU muy compleja que calcule laraíz cuadrada de cualquier número en un solo paso. Esto es llamado cálculo en un solo ciclo de reloj.
2. Diseñar una ALU compleja que calcule la raíz cuadrada con varios pasos (como el algoritmo...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Esto Es La Alu
  • La alu
  • Aluar
  • alu simulador
  • Alu en vhdl
  • Alu-74181
  • IEEE
  • ALU en VHDL

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS