Alu en vhdl

Páginas: 5 (1073 palabras) Publicado: 2 de junio de 2013
MATERIA
DISEÑO DIGITAL CON VHDL

PRACTICA No.

SERIE

NOMBRE DE LA PRACTICA
UNIDAD ARITMETICA Y LÓGICA CON VHDL

7
NOMBRE DEL ALUMNO
GOMEZ GARCIA JESÚS
LÓPEZ LÓPEZ EMMANUEL

FECHA DE ELABORACION

NOMBRE DEL MAESTRO
DRA. YAZMIN MALDONADO ROBLES

28/05/2012

2

Instituto Tecnológico de Tijuana
Departamento de Ingeniería Eléctrica y Electrónica

I.

Índice generalContenido
IV.

Introducción ............................................................................................... 6

V. Objetivo ........................................................................................................... 6
VI.

Marco teórico ............................................................................................. 7

VII. Material y equipo................................................................................... 11
VIII. Procedimiento y metodología experimental ................................ 11
IX.

Discusión de los resultados experimentales ............................... 14

X. Conclusiones ............................................................................................... 14
XI.

Bibliografía............................................................................................... 14

XII. Anexos ....................................................................................................... 15

3

Instituto Tecnológico de Tijuana
Departamento de Ingeniería Eléctrica y Electrónica

II.

Índice de figuras

Circuito para la sección lógica.......8
Circuito para latabla 3....9
Circuito aritmético.....10
ALU de 1 BIT.......10
ALU a diseñar....11

4

Instituto Tecnológico de Tijuana
Departamento de Ingeniería Eléctrica y Electrónica

III. Índice de tablas
Tabla de verdad para la sección Lógica.........7
Tabla de verdad para el circuito aritmetico...8
Tabla de verdad para el circuito Verdadero, negado, 1 y
0.....9
Simulación aritmética de laALU....12
Operaciones de la ALU.......13

5

Instituto Tecnológico de Tijuana
Departamento de Ingeniería Eléctrica y Electrónica

IV. Introducción
En esta práctica se utilizará VHDL para sintetizar una ALU de 4 bits. Esta
ALU ayudará para el proyecto final ya que es parte fundamental de un
CPU como se mencionará más adelante

V.

Objetivo

Diseñar e implementar una unidad aritméticalógica de 4 bits en
VHDL

6

Instituto Tecnológico de Tijuana
Departamento de Ingeniería Eléctrica y Electrónica

VI. Marco teórico
Definición de una ALU.
Una ALU (por sus siglas en inglés Arithmetic Logic Unit) se define como
una de las unidades que forman parte de la Unidad Central de Procesos (es
decir, del procesador, Microprocesador o CPU – Central Processor Unit,
por sus siglas eninglés) mediante la cual es posible realizar una gran
cantidad de operaciones aritméticas básicas (Suma, Resta y Multiplicación)
además de realizar algunas operaciones Lógicas ( Or, Not, And) entre dos
números o dos conjuntos de números.

Funcionamiento.
Una ALU se compone principalmente de dos secciones: sección lógica y
sección aritmética.
Sección Lógica:
Los datos de entrada en unaoperación lógica son manipulados en forma
separada y los bits son tratados como variables binarias. En la tabla 1. se
muestran cuatro operaciones lógicas OR, XOR,AND y NOT.

TABLA1. Tabla de verdad para la sección Lógica.

7

Instituto Tecnológico de Tijuana
Departamento de Ingeniería Eléctrica y Electrónica

A continuación se muestra el circuito de la sección lógica, como se puedeobservar está compuesta por las cuatro operaciones lógicas básicas
controladas por un multiplexor.

FIGURA1. Circuito para la sección lógica.
Sección aritmética:
El componente básico de la sección aritmética es un sumador en paralelo.
Las operaciones aritméticas configuradas en el circuito aritmético se
presentan en la tabla.2. En una ALU, la suma aritmética se puede
implementar con un...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • ALU en VHDL
  • Paper de una alu en vhdl
  • ALU en VHDL
  • Alu De 8 Bits Vhdl
  • La alu
  • Aluar
  • Esto Es La Alu
  • Vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS