Vhdl

Páginas: 3 (670 palabras) Publicado: 25 de septiembre de 2013
Laboratorio de Electrónica

Maquina de Estado mediante VHDL

PRACTICA #4A
MAQUINA DE ESTADOS
Objetivos


Que el alumno comprenda el uso de VHDL para desarrollar diseños mediante
maquinasde estados.

Introducción
Los diagramas de estados, tablas de estados y otras descripciones de máquina de estados
finitos contienen básicamente la misma información: describen el comportamientodeseado
del circuito en términos de transiciones de estado y cambios de salida en respuesta a todas
las combinaciones posibles de entradas y estados.
Por ejemplo, las figuras 4.1 a, b y c presentan undiagrama de estados, la correspondiente
tabla de estados y la tabla de verdad,, respectivamente, de una máquina de estados finitos.
Por lo general, en una descripción de arquitectura VHDL sedescribe el comportamiento de
un circuito secuencial mediante una o más estructuras de proceso.

FIgura 4.1. Descripción del circuito secuencial. (a) Diagrama de
estados. (b) Tabla de estados. (c)Formato de tabla de verdad.
Ing. Marcelo Bastida Tapia

1

Facultad de Estudios Superiores Cuautitlan
Universidad Nacional Autónoma de México

Laboratorio de Electrónica

Maquina de Estadomediante VHDL

Máquina de Mealy: Es la máquina de estado en la cuál la salida depende tanto del estado
presente como de las entradas externas. (Es el representado en la figura 4.2).

EntradasLógica de
estado
siguiente
F

Excitación

Salidas

Memoria
de estado
Estado
actual

Entrada
de reloj

Lógica
de salida
G

Reloj

Figura 4.2. Estructura máquina de estados sincronizadapor reloj(Mealy)






Lógica de estado siguiente (F): Una función de las entradas y del estado actual.
Memoria de estados: Es un conjunto de n flip flops que almacenan el estadopresente de la máquina, que tiene 2n estados diferentes. La señal de reloj controla el
cambio de estado en tales flip flops.
La señal de reloj: dispone el funcionamiento de los flip flops ya sea por...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS