Paper de una alu en vhdl

Páginas: 3 (572 palabras) Publicado: 6 de junio de 2011
Arquitectura de Computadores
Procesador Monociclo

RESUMENEn este documento se dará a conocer como se realizaron todos los módulos para llegar al procesador Monociclo desde la unidad decontrol, las memorias de registros, de datos hasta llegar con los multiplexores para habilitar los correspondientes módulos conectados a este.PALABRAS CLAVES: Arquitectura, instancia, ALU, tipos de dato I,R, J multiplexor, Unidad de control, memoria de instrucciones. ABSTRACTThis document will be released as were all the processor modules to reach Unicycle from the control unit, the reports of recordsof data to reach the multiplexers to enable the relevant modules connected to it.KEYWORDS: Architecture, analysis, ALU, data types I, R, J multiplexer control unit, instruction memory.. | | VERONICARESTREPO ZULUAGAEstudiante Ingeniería de Sistemas y Computación.Universidad Tecnológica de PereiraANGELA MARIA PALACIOEstudiante Ingeniería de Sistemas y Computación.Universidad Tecnológica de Pereira|

INTRODUCCIÓN

El sistema que se mostrara estará compuesto por quince módulos, donde el primer subsistema fue la ALU donde de acuerdo a las 3 entradas, y un set de instrucciones realiza unaoperación aritmética y en el segundo subsistema esta la memoria de registros donde guarda la dirección de memoria, unidad de control.

CONTENIDO

ALU
Como se observa en el esquematico.

Leingresan 3 señales. La señal reg1 y reg2, operación y como salida el resultado de la operación aritmética o lógica, que de acuerdo a la señal de 4 bits realizara suma, resta o comparación de las 2 entradas.MEMORIA DE REGISTROS

Esquemático Mem. Registros
Es el modulo que permite guardar las direcciones de las señales. De acuerdo a lasentradas reg_rs, reg_rt,reg_rd, clk, habilitador y escr_dato, que de acuerdo al flanco del reloj y si la señal del habilitador se activa el escribir dato.

UNIDAD DE CONTROL

Esquemático

La...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Alu en vhdl
  • ALU en VHDL
  • ALU en VHDL
  • Alu De 8 Bits Vhdl
  • La alu
  • Aluar
  • Esto Es La Alu
  • Vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS