Decodificador Bcd 7 Segmentos

Páginas: 6 (1392 palabras) Publicado: 2 de noviembre de 2012
Diseño de decodificador de BCD a 7‐Segmentos y simulación en Xilinx ISE por código VHDL 
Autor: Ing. Gabriel Rivas 
Objetivo: Proporcionar un ejemplo práctico de diseño y simulación de un circuito digital a 
estudiantes de diseño de circuitos digitales II y microprocesadores.  
1. Especificaciones del diseño: Se deben definir las especificaciones del diseño como por ejemplo identificar cuales son las entradas, las salidas, los niveles lógicos de operación,  y 
los requerimientos de funcionamiento del componente digital que se desea diseñar. Las 
especificaciones deben documentarse de manera gráfica por medio de un diagrama de 
bloques y escrita por medio de una descripción y listado de requerimientos. 
 

 
 Figura 1. Componente de decodificador de 7 segmentos con entradas y salidas 
identificadas 
 
De acuerdo a la figura 1, podemos describir las entradas y salidas como: 
 
A – D : Entradas BCD 
a‐f : Salidas de control de los segmentos. 
 
Los requerimientos de funcionamiento de un componente electrónico digital está definido 
principalmente por sus tablas de verdad, ecuaciones lógicas y diagramas de señales de entradas y 
salidas.  
    

  Digito A B C D sega segb segc segd sege segf segg
00000
1
1
1
1
1
1
0
10001
0
1
1
0
0
0
0
20010
1
1
0
1
1
0
1
30011
1
1
1
1
0
0
1
40100
0
1
1
0
0
1
1
50101
1
0
1
1
0
1
1
60110
1
0
1
1
1
1
1
70111
1
1
1
0
0
0
0
81000
1
1
1
1
1
1
1
91001
1
1
1
1
0
1
1
Demas entradas
0
0
0
0
0
0
0 Tabla 1. Tabla de verdad de decodificador 
A partir de la tabla de verdad del decodificador obtenemos las ecuaciones para cada segmento: 
 

 
 
 
 
 
 
 

2. Implementacion en lenguaje VHDL 
2.1 Creacion de proyecto en Xilinx: A continuación se detallan los pasos para crear el proyecto 
en Xilinx para implementar el decodificador de BCD a 7 segmentos. 
 
 
 
 
 
 

Paso 1. Abrir el software  Xilinx ISE, cuando termine de cargar haga click en el botón New Project el cual aparece a la 
izquierda de la pantalla en la sección de Project commands: 

 
Paso 2. En Name debe colocar el nombre del proyecto, pónganle el nombre de decBCDto7Seg. 
Luego seleccionen en Location la carpeta en su computadora en donde quieran crear el proyecto. En la sección Top‐level source type se debe dejar la selección HDL. Luego hacer click en Next. 

 

 
Paso 3. En la próxima ventana se coloca información del FPGA que utilizaran para implementar el 
proyecto. Para saber que FPGA deben seleccionar, tienen que consultar la información del 
fabricante de la tarjeta de desarrollo que están utilizando. Luego de colocar las características del FPGA utilizado, deben colocar las características de implementación del lenguaje de descripción de 
hardware y simulación. Para esto coloquen las selecciones como aparece en la siguiente figura. 
Luego hagan click en Next. En la próxima pantalla que aparecen hacer click en Finish.   

 
 
 
 
 
 

 
Paso 4. Luego de que el proyecto ha sido creado debemos crear entonces un archivo VHDL para implementar el decodificador. Para esto deben hacer click con el botón derecho del mouse sobre 
el nombre del proyecto y hacer click en la opcion New Source… 

 
 
 
 
 
 
 
 
 
 

 
Paso 5. Luego seleccione el Source Type, en este caso queremos desarrollar un modulo VHDL 
funcional, entonces seleccionamos VHDL Module como aparece en la figura, y en File name pueden ponerle el mismo nombre del proyecto, es decir decBCDto7Seg. Luego haga click en Next. 

 
 
 
 
 
 
 
 
 

 
Paso 6. En la próxima ventana deben definir todas las entradas y salidas del decodificador. En la 
columna Port Name colocamos los nombres de las entradas y salidas como se definieron 
anteriormente. Para las salidas de los segmentos se uso el prefijo “seg”. En la columna Direction ...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Decodificador Bcd A 7 Segmentos
  • Decodificador De Bcd a 7 Segmentos
  • Decodificador BCD-7 segmentos
  • Decodificador Bcd A 7 Segmentos
  • Decodificador Bcd A 7 Segmentos (Contador)
  • Decodificador Bcd a Display De 7 Segmentos
  • Decodificador BCD a 7 Segmentos ánodo común
  • Decodificador BCD a 7 segmentos

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS