Decodificador Bcd A 7 Segmentos

Páginas: 4 (915 palabras) Publicado: 20 de junio de 2012
> LABORATORIO # 3 – DECODIFICADOR BCD A 7 SEGMENTOS<

Decodificador BCD a 7 Segmentos
Néstor Iván Melo, Julián Fernando Perico, Christian Paul Padilla, Universidad Santo Tomas
el decodificador deBCD a 7 segmentos con un display de cátodo común.

Abstract—this report invites the reader to know in concise management logic gates as a powerful tool in the electronic use. Soon we will know whatsteps strictly in practice since they entered the laboratory room, until the practice was completed. In a sequential manner, step by step as we will manipulate the artifacts with the help ofillustrations. This will understand in a concise manner, to take one picture of each thing that happens to try to remedy the absence of mass as they detailed through the description in the writing of thiswork. Digital circuits (logic) operating in binary mode where each input voltage and output is a 0 and 1, the nominations represent 0 and 1 voltage predefined intervals. This feature allows the logiccircuits using Boolean algebra as a tool for analysis and design of digital systems. In this laboratory study the logic gates, which are the fundamental logic circuits, and observe how their operationcan be described using Boolean algebra.

Suponiendo que el visualizador es un display de cátodo común, se obtiene una tabla cuyas entradas en código BCD corresponden a A, B, C y D y unas salidascorrespondientes a los leds que se encenderían en cada caso para indicar el dígito decimal. DESARROLLO Montajes Para realizar los montajes previamente revisamos las características físicas y electrónicasde los integrados con la ayuda de los datasheets que nos orientaron para la implementación en la protoboard. Las referencias de integrados que usamos fueron los siguientes: Compuerta AND:

EINTRODUCCION

l decodificador de BCD a siete segmentos es un circuito combinacional que permite un código BCD en sus entradas y en sus salidas activa un display de 7 segmentos para indicar un dígito...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Decodificador De Bcd a 7 Segmentos
  • Decodificador BCD-7 segmentos
  • Decodificador Bcd A 7 Segmentos
  • Decodificador Bcd 7 Segmentos
  • Decodificador Bcd A 7 Segmentos (Contador)
  • Decodificador Bcd a Display De 7 Segmentos
  • Decodificador BCD a 7 Segmentos ánodo común
  • Decodificador BCD a 7 segmentos

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS