Diseño Jerarquico vhdl

Páginas: 4 (853 palabras) Publicado: 11 de noviembre de 2014



DISPOSITIVOS Y CIRCUITOS ELECTRONICOS II

Informe Nro11

DISEÑO JERARQUICO

Integrantes:
López Salazar, César Aldair
Valencia Camayo Willy Arnold
Sección C15-04-B

Profesor:Francisco José Camacho Jiménez


Fecha de Realización: 30 de octubre
Fecha de Entrega: 6 de noviembre








“DISEÑO JERARQUICO”
Objetivos

1. Usar el VHDL como herramienta de ediciónde bloques funcionales a medida.
2. Usar el VHDL en la edición mediante grafico y texto.
3. Integrar bloques funcionales en sistemas de mayor complejidad usando diseño jerárquico.
4. Asignación depines al proyecto para su grabación.

Introducción Teórica





















Preparación

Para el desarrollo de esta experiencia el alumno debe tener claro los conceptosdados en la clase teórica, revisar sus apuntes y afianzar sus conocimientos con el texto base y la bibliografía del curso

Procedimiento

I. Se requiere realizar el diseño de un sistema quecontrole un motor el cual acciona una faja que transporta cajas como el que se muestra en la figura:

El sistema debe de tener los siguientes controles:
a. Un interruptor de habilitación de todo elsistema.
b. Un pulsador Start / Stop
c. Controles de configuración de número de cajas, borrado de número de cajas
d. Control para cambiar el sentido de giro del motor.
La estructura del sistema estadescrito mediante el siguiente diagrama de bloques:













Los siguientes circuitos conforman los bloques de la parte lógica:
Bloques 1, 2, 4, 6.

Figura1. Esquemático delbloque 1, 2, 4, 6

Se crean los dos contadores 7498 para la parte de conteo de las señales que ingresan como valores binrios dando como resultado haciendo un control de habilitación on/off, con controlde numero de ajas, seguido del contador de cajas con laa parte de sentido de giro.


Figura 2. Test bench
Para el test bench se ingresaron valores para que a la salida nos del conto respectivo...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Diseño Con Vhdl
  • Diseño de dispensador en vhdl
  • Diseño semaforo vhdl
  • Diseño Estructural Vhdl
  • Diseño e Implementacion En Vhdl
  • CAPAS DEL DISEÑO JERARQUICO
  • Reloj de ajedrez disenado en vhdl
  • Diseño de una red jerarquica

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS