Diseño Estructural Vhdl
SED GISE20016
S E D
istemas lectrónicos igitales
SED GISE20016
SED GISE20016
Diseño estructural
n El
SED GISE20016
diseño estructural se utilizapara realizar la conexión entre bloques independientes.
2
© 2012 A.G.O. All Rights Reserved
Paquetes y componentes
n Es
SED GISE20016
conveniente crear un paquete, en el cual sepueden definir las constantes, por ejemplo
library IEEE; use IEEE.STD_LOGIC_1164.all; package compo is constant palabra constant n_reg constant k end compo; :integer:=8; :integer:=8; :integer:=3;--palabra, es decir, nº de bits de los registros -- número de registros --numero de bits para la selección
3
© 2012 A.G.O. All Rights Reserved
Paquetes y componentes
library IEEE; useIEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity BR is generic (palabra :integer:=8; n_reg :integer:=8; k :integer:=3 ); port (clk : in std_logic; WR : in std_logic;RDA : in std_logic; RDB : in std_logic; entrada : in std_logic_vector(palabra-1 downto 0); WA : in std_logic_vector(k-1 downto 0); RA : in std_logic_vector(k-1 downto 0); RB : in std_logic_vector(k-1downto 0); SalA : out std_logic_vector(palabra-1 downto 0); SalB : out std_logic_vector(palabra-1 downto 0) ); end BR; library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; useIEEE.STD_LOGIC_UNSIGNED.ALL; use work.compo.all;
SED GISE20016
entity BR is port (clk : in std_logic; WR : in std_logic; RDA : in std_logic; RDB : in std_logic; entrada : instd_logic_vector(palabra-1 downto 0); WA : in std_logic_vector(k-1 downto 0); RA : in std_logic_vector(k-1 downto 0); RB : in std_logic_vector(k-1 downto 0); SalA : out std_logic_vector(palabra-1 downto 0); SalB : outstd_logic_vector(palabra-1 downto 0) ); end BR;
4
© 2012 A.G.O. All Rights Reserved
Paquetes y componentes
n
SED GISE20016
Si queremos unir los dos bloques, cada bloque lo definimos...
Regístrate para leer el documento completo.